电子设计自动化实验报告
eda电子设计使用verilog语言电子琴实验报告
电子设计自动化课程设计报告院系:信息工程学院专业:电子信息工程学号:姓名:指导教师:2013 年月日目录1设计目的 (3)2题目描述与要求 (3)3课程设计报告内容 (3)3.1设计原理与思路 (3)3.2操作过程 (4)3.3设计和调试过程中出现的问题及解决方法 (7)4设计总结和心得体会 (8)一、课程设计目的使用VerilogHDL语言进行前端设计,并使用Quaruts软件在实验箱上实现仿真,实现硬件电子琴。
电子琴要求有8个音阶,使用外部时钟信号32MHz,能同步显示音阶。
二、课程设计题目描述和要求题目:简易电子琴的设计主要功能:(1)设计一个八音电子琴。
(2)由键盘输入控制音响,同时可自动演奏乐曲。
(3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。
三、课程设计报告内容3.1设计原理与思路系统由数控分频器、乐曲存储模块以及发声模块组成。
数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。
乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。
由发声模块产生音符对应的频率的信号来使扬声器发音。
(1)模块automusic模块automsic由auto信号来选择发声的方式,auto=0时系统自动播放内置的音乐,auto=1时由键盘来手动演奏音乐。
(2)模块TONE模块Tone是音阶发生器,当8位发声控制输入Index中某一位为高电平时,则对应某一音阶的数值将从端口Tone输出,作为获得该音阶的分频预置值;同时由Code输出对应该音阶简谱的显示数码,如‘5’,并由High输出指示音阶高8度显示。
(3)模块Speaker模块Speaker中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构成,当模块Speaker由端口Tone获得一个2进制数后,将以此值为计数器的预置数,对端口Clk12MHZ输入的频率进行分频,之后由Spkout向扬声器输出发声。
电子设计自动化EDA技术实验四报告模板-10进制计数器设计
南京工程学院自动化学院实验报告课程名称电子设计自动化EDA技术实验项目名称10进制计数器设计实验学生班级实验学生姓名同组学生姓名实验时间实验地点实验成绩评定指导教师签字年月日24其中D 表示输入初始计数值,Sta 为计数开始,Q 表示当前计数值;LD 表示预制计数值,LD 为“0”,初始计数值打入器件;UD 表示计数方向,UD 为‘0’,计数器加计数,UD 为‘1’,计数器减计数;C 表示器件工作态,C 为‘0’,表示计数器正在计数;C 为‘1’,表示计数器计数结束;CP 为计数脉冲。
四、实验方案设计、实验方法 1. 实验方案10进制计数器的VHDL 描述有多种方法,设计过程中可以采用计数脉冲CP 作为敏感量,CP 的每个上升沿,计数值Q 加‘1’或减‘1’,加到‘9’后回‘0’或减到‘0’后回‘9’,语句可采用case …when 、with …select 、if …then 以及加减运算等多种结构实现。
也可以首先设计基本的触发器、锁存器等元件,而后通过元件的互联实现。
本实验中根据真值表用if-then 结构实现10进制计数器 2. 实验方法首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。
五、实验步骤1. 设计输入 利用FILE\New 菜单输入VHDL 源程序,创建源文件D0 D1 D2 D3 CP Sta LD UDQ0 Q1 Q2 Q3 C62. 器件及管脚逻分配图管脚分配情况如图,所选器件为EPM7032AELCC44-43. 仿真波形10进制计数器的仿真波形如下图,从波形可以得出,输入输出满足前文真值表,设计电路功能达到设计要求4. 时序分析图上述时间分析可以得到,输出信号存在3ns的时间延迟,它主要与器件速度、表达逻辑的合理性有关,选用速度更高器件、优化设计可以使该值降低。
8。
设计占空比为40%的方波发生器
电子设计自动化实验报告(五)学院:电气学院班级:电子122班姓名:覃思远
一、实验题目:设计占空比为40%的方波发生器
二、实验目的:学会调用LPM模块类存储器来设计涉及RAM和ROM等存储器应用的EDA 设计开发。
三、实验程序:
四、实验仿真结果:
五、仿真结果分析:设置时钟inclk0、时钟使能clken=1和复位reser=0,由图可以看出,输出q得到一个占空比为40%的方波,该设计为占空比为40%方波发生器。
六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把硬件的引脚与程序中的输入输出端锁定,编译并下载程序,设置好时钟inclk0、时钟使能clken=1和复位reser=0,板子上电测试,观察实验现象是否符合程序的结果。
七、总结:在涉及RAM和ROM等存储器应用的EDA 设计开发中要学会利用Quartus II 调用LPM RAM的方法和相关技术,包括仿真测试、初始化配置文件生成、例化程序表述、
相关属性应用、以及存储器的Verilog语言描述等。
自动化实习报告
自动化实习报告
实习时间,2021年6月1日至2021年8月31日。
实习地点,某某公司。
一、实习背景。
作为一名自动化专业的学生,我有幸在某某公司进行为期三个
月的实习。
在实习期间,我主要负责参与公司自动化生产线的维护
和改进工作,以及参与自动化设备的调试和测试工作。
二、实习内容。
1. 参与自动化生产线的维护和改进工作,在实习期间,我深入
了解了公司的自动化生产线,学习了其中的各种自动化设备和控制
系统。
我负责对生产线中的设备进行日常维护和故障排除,并提出
了一些改进方案,以提高生产效率和降低故障率。
2. 参与自动化设备的调试和测试工作,除了生产线的维护工作,我还参与了公司新引进的自动化设备的调试和测试工作。
我负责编
写测试方案和测试报告,协助工程师进行设备的调试和性能测试,确保设备能够正常运行并达到设计要求。
三、实习收获。
在实习期间,我不仅学到了很多理论知识,还获得了丰富的实践经验。
通过参与实际的自动化生产线维护和改进工作,我深刻理解了自动化设备的工作原理和维护方法,提高了自己的技能水平。
同时,通过参与自动化设备的调试和测试工作,我学会了如何编写测试方案和测试报告,提高了自己的工作效率和质量。
四、实习总结。
通过这次实习,我对自动化领域有了更深入的了解,也提高了自己的实际操作能力和解决问题的能力。
在未来的学习和工作中,我将继续努力,不断提升自己,为自动化领域的发展做出更大的贡献。
感谢公司给予我这次宝贵的实习机会,我会珍惜并努力回报公司的信任。
实验报告
电子设计自动化实验研究论文摘要Altium Designer 6.0,它是完全一体化电子产品开发系统的一个新版本,也是业界第一款也是唯一一种完整的板级设计解决方案。
Altium Designer 是业界首例将设计流程、集成化PCB 设计、可编程器件(如FPGA)设计和基于处理器设计的嵌入式软件开发功能整合在一起的产品,一种同时进行PCB和FPGA设计以及嵌入式设计的解决方案,具有将设计方案从概念转变为最终成品所需的全部功能。
关键字:Altium Designer、仿真、电子技术目录摘要. i目录. ii第1章.前言第2章.电路原理图的绘制与仿真2.1. 了解Altium Designer的界面及基本操作。
2.2. 学会使用Altium Designer绘制电路原理图。
2.3. 绘制一个555闪光电路的原理图。
2.4. 对该电路进行仿真分析。
第3章.印制电路板的设计和制作3.1.印制电路板设计的一般步骤。
3.2. 熟悉印制电路板图设计界面和各种工具的使用。
3.3. 绘制印制电路板图3.4. 生成元件清单,根据此清单购买所需的元件。
第4章.实际电路的制作和调试4.1. 认识元器件。
4.2. 焊接电路与通电检测。
4.3. 观察并分析电路现象。
第5章结论.致谢.参考文献.第1章前言随着现代集成电路技术及其配套的计算机软件技术的快速发展,使得复杂的电路设计简单化。
一方面,集成电路把整个电路封装在一个很小的外壳里,所以你只要挑选好合适的集成电路块就行了,不必用晶体管和其它元件来组成工作电路的各个部分。
然后你可以把那些集成电路块组合起来构成非常复杂的电路。
另一方面,随着EDA软件的大量涌现,过去需要进行的面包板实验和试验电路板的制作也已经被计算机软件仿真逐步取代。
在折中考虑软件的仿真能力和印制电路板的绘制的便利性上,本实验将以Altium公司的新一代集成一体化设计软件Altium Designer作为基础进行。
eda仿真实验报告
eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。
在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。
本篇报告将介绍我在EDA仿真实验中的经验和收获。
二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。
通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。
三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。
在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。
2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。
在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。
3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。
这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。
4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。
通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。
5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。
通过对比仿真输出和预期结果,可以判断电路设计的正确性。
如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。
四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。
通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。
无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。
在实验过程中,我还发现了一些有趣的现象。
例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。
eda实验报告
eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。
2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。
常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。
3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。
其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。
3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。
在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。
3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。
3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。
在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。
4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。
此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。
电子设计自动化(EDA)_数字时钟程序模块(LED数码管显示)_实验报告
电子设计自动化(EDA)—数字时钟LED数码管显示二、实验内容和实验目的1. 6个数码管动态扫描显示驱动2. 按键模式选择(时\分\秒)与闹钟(时\分)调整控制,3. 用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、闹钟模块、按键控制状态机模块、动态扫描显示驱动模块、顶层模块。
要求使用实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
实验目的: 1)学会看硬件原理图, 2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力三、实验步骤、实现方法(或设计思想)及实验结果主要设备: 1)PC机, 2)硬件实验箱, 3)Quartus II软件开发平台。
1.打开Quartus II , 连接实验箱上的相关硬件资源, 如下图1所示。
2.建立新文件, 选择文本类型或原理图类型。
3. 编写程序。
4.编译5. 仿真, 加载程序到芯片, 观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确, 则修改程序, 再编译, 直到正确。
模24计数器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY count24 ISPORT(clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END count24;ARCHITECTURE arc OF count24 ISSIGNAL a,b:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk,en)BEGINhh<=a;hl<=b;IF(clk'EVENT AND clk='1') THENIF(en='1') THENIF(a="0010" AND b="0011") THENa<="0000";b<="0000";ELSE IF(b="1001") THENa<=a+'1';b<="0000";ELSE b<=b+'1';END IF;END IF;IF(a="0010" AND b="0010") THENcout<='1';ELSE cout<='0';END IF;END IF;END IF;END PROCESS;END arc;模60计数器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY count60 ISPORT(clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END count60;ARCHITECTURE arc OF count60 ISSIGNAL a,b:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL sout:STD_LOGIC;BEGINPROCESS(clk)BEGINhh<=a; hl<=b;IF(clk'EVENT AND clk='1') THENIF(en='1') THENIF(a="0101" AND b="1001") THENa<="0000";b<="0000";ELSE IF(b="1001") THENa<=a+'1';b<="0000";ELSE b<=b+'1';END IF;END IF;END IF;END IF;END PROCESS;sout<='1' WHEN a="0101" AND b="1001" ELSE '0';cout<=sout AND en;END arc;4-7显示译码模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY segment4to7 ISPORT(s:IN STD_LOGIC_VECTOR(3 DOWNTO 0);a,b,c,d,e,f,g:OUT STD_LOGIC);END segment4to7;ARCHITECTURE arc OF segment4to7 IS SIGNAL y:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGINa<= y(6);b<= y(5);c<= y(4);d<= y(3);e<= y(2); f<= y(1);g<= y(0);PROCESS(s)BEGINCASE s ISWHEN "0000"=>y<="1111110"; WHEN "0001"=>y<="0110000"; WHEN "0010"=>y<="1101101"; WHEN "0011"=>y<="1111001"; WHEN "0100"=>y<="0110011"; WHEN "0101"=>y<="1011011"; WHEN "0110"=>y<="1011111"; WHEN "0111"=>y<="1110000"; WHEN "1000"=>y<="1111111"; WHEN "1001"=>y<="1111011"; WHEN OTHERS=>y<="0000000"; END CASE;END PROCESS;END arc;带闹钟控制模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mode_adjust_with_alarm ISPORT (adjust,mode,clk1hz: IN STD_LOGIC;clkh,enh,clkm,enm,clks,enha: OUT STD_LOGIC;clkh_a,clkm_a:OUT STD_LOGIC;mode_ss: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END mode_adjust_with_alarm;ARCHITECTURE arc OF mode_adjust_with_alarm ISTYPE mystate IS (s0,s1,s2,s3,s4,s5);SIGNAL c_state,next_state: mystate;BEGINPROCESS (c_state)BEGINCASE c_state ISWHEN s0=> next_state <= s1; clkh<=clk1hz; clkm<=clk1hz; clks<=clk1hz;enh<='0'; enm<='0'; enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="000";WHEN s1=> next_state <= s2; clkh<=adjust; clkm<= '0'; clks<='0';enh<='1'; enm<='0';enha<='0'; clkh_a<= '0';clkm_a<= '0'; mode_ss <="001";WHEN s2=> next_state <= s3; clkh<= '0'; clkm<=adjust; clks <= '0';enh<='0';enm<='1';enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="010";WHEN s3=> next_state <= s4; clkh<= '0'; clkm<= '0'; clks<=adjust;enh<='0'; enm<='0';enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="011";WHEN s4=> next_state <= s5; clkh<= clk1hz; clkm<= clk1hz; clks<=clk1hz;enh<='0';enm<='0';enha<='1'; clkh_a<=adjust; clkm_a<= '0'; mode_ss <="100";WHEN s5=> next_state <= s0; clkh<= clk1hz; clkm<= clk1hz; clks<=clk1hz;enh<='0'; enm<='0'; enha<='0'; clkh_a<= '0'; clkm_a<=adjust; mode_ss <="101";END CASE;END PROCESS;PROCESS (mode)BEGINIF (mode'EVENT AND mode='1') THENc_state<=next_state ;END IF;END PROCESS;END arc;扫描模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY scan ISPORT(clk256hz:IN STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END scan;ARCHITECTURE arc OF scan ISTYPE mystate IS (s0, s1,s2,s3,s4,s5);SIGNAL c_state,next_state: mystate;BEGINPROCESS ( c_state )BEGINCASE c_state ISWHEN s0=> next_state <=s1; ss<="010";WHEN s1=> next_state <=s2; ss<="011";WHEN s2=> next_state <=s3; ss<="100";WHEN s3=> next_state <=s4; ss<="101";WHEN s4=> next_state <=s5; ss<="110";WHEN s5=> next_state <=s0; ss<="111";END CASE;END PROCESS;PROCESS (clk256hz)BEGINIF (clk256hz'EVENT AND clk256hz='1') THENc_state<=next_state ;END IF;END PROCESS;END arc;复用模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux ISPORT(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla:IN STD_LOGIC_VECTOR(3 DOWNTO 0);ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);alarm:OUT STD_LOGIC);END mux;ARCHITECTURE arc OF mux ISSIGNAL a,hhtmp,hltmp,mhtmp,mltmp,shtmp,sltmp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(mode_ss)BEGINCASE mode_ss ISWHEN "000"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "001"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "010"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "011"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "100"=> hhtmp<=hha; hltmp<=hla; mhtmp<=mha; mltmp<=mla; shtmp<=sh; sltmp<=sl;WHEN "101"=> hhtmp<=hha; hltmp<=hla; mhtmp<=mha; mltmp<=mla; shtmp<=sh; sltmp<=sl;WHEN OTHERS=>hhtmp<="0000";hltmp<="0000";mhtmp<="0000";mltmp<="0000";shtmp<="0000";sltmp<="0000"; END CASE;END PROCESS;PROCESS(ss)BEGINCASE ss ISWHEN "010"=> a <=hhtmp;WHEN "011"=> a <=hltmp;WHEN "100"=> a <=mhtmp;WHEN "101"=> a <=mltmp;WHEN "110"=> a <=shtmp;WHEN "111"=> a <=sltmp;WHEN OTHERS => a <="0000";END CASE;y<=a;END PROCESS;alarm<='1' WHEN ((hh=hha)AND(hl=hla)AND(mh=mha)AND(ml=mla)) ELSE '0';END arc;闪烁模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY blink_control ISPORT(ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);blink_en:OUT STD_LOGIC);END blink_control;ARCHITECTURE arc OF blink_control ISBEGINPROCESS (ss,mode_ss)BEGINIF(ss="010" AND mode_ss="001") THEN blink_en<='1';ELSIF(ss="011" AND mode_ss="001") THEN blink_en<='1';ELSIF(ss="100" AND mode_ss="010") THEN blink_en<='1';ELSIF(ss="101" AND mode_ss="010") THEN blink_en<='1';ELSIF(ss="110" AND mode_ss="011") THEN blink_en<='1';ELSIF(ss="111" AND mode_ss="011") THEN blink_en<='1';ELSIF(ss="010" AND mode_ss="100") THEN blink_en<='1';ELSIF(ss="011" AND mode_ss="100") THEN blink_en<='1';ELSIF(ss="100" AND mode_ss="101") THEN blink_en<='1';ELSIF(ss="101" AND mode_ss="101") THEN blink_en<='1';ELSE blink_en<='0';END IF;END PROCESS;END arc;Top文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY design3 ISPORT (mode,adjust,clk1hz,clk2hz,clk256hz,clk1khz:IN STD_LOGIC;alarm,a,b,c,d,e,f,g:OUT STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END design3;ARCHITECTURE arc OF design3 ISCOMPONENT mode_adjust_with_alarm PORT (adjust,mode,clk1hz: IN STD_LOGIC;clkh,enh,clkm,enm,clks,enha: OUT STD_LOGIC;clkh_a,clkm_a:OUT STD_LOGIC;mode_ss: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END COMPONENT;COMPONENT scan PORT (clk256hz:IN STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END COMPONENT;COMPONENT segment4to7 PORT (s: IN STD_LOGIC_VECTOR(3 DOWNTO 0);a,b,c,d,e,f,g: OUT STD_LOGIC);END COMPONENT;COMPONENT mux PORT(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla:IN STD_LOGIC_VECTOR(3 DOWNTO 0);ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);alarm:OUT STD_LOGIC);END COMPONENT;COMPONENT blink_control PORT(ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);blink_en:OUT STD_LOGIC);END COMPONENT;COMPONENT count24 PORT (clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END COMPONENT;COMPONENT count60 PORT (clk ,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END COMPONENT;SIGNALclkh,enh,clkm,enm,clks,clkh_a,clkm_a,coutm,couts,coutm_en,couts_en,cout,vcc,coutma_en,coutma,alarm1,bli nk_en,blink_tmp,enha: STD_LOGIC;SIGNAL mode_ss,ss1:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL hh,hl,mh,ml,sh,sl,hha,hla,mha,mla,y,i:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINvcc<='1';coutm_en <= enh OR coutm;couts_en <= enm OR couts;coutma_en<= enha OR coutma;blink_tmp<=blink_en and clk2hz;i(3)<=y(3) OR blink_tmp;i(2)<=y(2) OR blink_tmp;i(1)<=y(1) OR blink_tmp;i(0)<=y(0) OR blink_tmp;ss<=ss1;alarm<=alarm1 AND clk1khz;u1:mode_adjust_with_alarmPORT MAP( adjust,mode,clk1hz,clkh,enh,clkm,enm,clks,enha,clkh_a,clkm_a,mode_ss);u2:count24 PORT MAP(clkh,coutm_en,cout,hh,hl);u3:count60 PORT MAP(clkm,couts_en,coutm,mh,ml);u4:count60 PORT MAP(clks,vcc,couts,sh,sl);u5:count24 PORT MAP(clkh_a,coutma_en,cout,hha,hla);u6:count60 PORT MAP(clkm_a,vcc,coutma,mha,mla);u7:mux PORT MAP(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla,ss1,mode_ss,y,alarm1);u8:scan PORT MAP(clk256hz,ss1);u9:blink_control PORT MAP(ss1,mode_ss,blink_en);u10:segment4to7 PORT MAP(i,a,b,c,d,e,f,g);END arc;实验结果:数字钟包括正常的时分秒计时, 实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒。
EDA实验一38译码器设计
《电子设计自动化》实验报告实验一实验名称:3-8译码器的设计专业及班级:姓名:学号:一、实验目的:1.掌握组合逻辑电路的设计方法。
2.掌握 VHDL 语言的基本构造及设计的输入方法。
3.掌握 VHDL 语言的基本描绘语句的使用方法。
二、实验步骤(附源代码及仿真结果图):1.成立工程, Quartus II -- project wizard (注意工程目录中不可以出现中文字符,不可以成立在桌面上);弹出窗口如图 2-3 所示。
图 2-3 New Project Wizard 窗口2.点击next,在出现的对话框中输入以下项目信息:a.项目路径,如: D:\EDA experiment\decoder38; b.项目名称,如: decoder38。
如图 2-4 所示:图 2-4 项目路径和项目名称对话框3.点击 2 次 next 后,出现如图 2-5 所示的对话框:a.Device family 中选择 Cyclone IV E;b.Available devices 中选择 EP4CE115F29C7.图 2-5器件选择窗口4.点击next后,出现EDA工具设置对话框。
在Simulation一行中, Tool Name 选择ModelSim-Altera , Fomat(s)选择 VHDL ,如图 2-6 所示。
图 2-6 EDA 工具设置对话框5.点击 next,出现如图 2-7 所示的对话框:图 2-7 新建项目汇总对话框6. 点击 Finish 后,出现如图2-8 所示的界面:图 2-8 decoder38 项目界面7.点击 File->New->VHDL File ,如图 2-9 所示。
点击 ok 封闭对话框。
图 2-9 新建 VHDL 文件窗口8.在文本编写框内键入以下程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38 ISPORT(A, B,C,G1,G2A,G2B: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END decoder38;ARCHITECTURE Behavior OF decoder38 ISSIGNAL indata: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINindata <= C&B&A;PROCESS (indata, G1, G2A,G2B)BEGINIF (G1='1' AND G2A='0' AND G2B='0') THENCASE indata ISWHEN "000"=>Y<="11111110";WHEN "001"=>Y<="11111101";WHEN "010"=>Y<="11111011";WHEN "011"=>Y<="11110111";WHEN "100"=>Y<="11101111";WHEN "101"=>Y<="11011111";WHEN "110"=>Y<="10111111";WHEN "111"=>Y<="01111111";WHEN OTHERS =>Y<="XXXXXXXX";END CASE;ELSEY<="11111111";END IF;END PROCESS;END Behavior;9. 将文件保留为decoder38.vhd 后,开始编译,点击Processing->Start Compilation ,编译成功后,出现如图2-10 所示界面:图 2-10 编译成功界面10.再次新建一个 vhdl 文件,键入以下的 modelsim 测试程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38_tb ISEND decoder38_tb;ARCHITECTURE Behavior OF decoder38_tb ISCOMPONENT decoder38PORT (A,B,C,G1,G2A,G2B: IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END COMPONENT;SIGNAL A: STD_LOGIC:='0';SIGNAL B : STD_LOGIC:='0';SIGNAL C: STD_LOGIC:='0';SIGNAL G1: STD_LOGIC:='1';SIGNAL G2A: STD_LOGIC:='0';SIGNAL G2B: STD_LOGIC:='0';SIGNAL Y: STD_LOGIC_VECTOR(7 DOWNTO 0);CONSTANT CLK_PERIOD: TIME:=10ns;BEGINA<=not A after CLK_PERIOD;B<=not B after 20ns;C<=not C after 40ns;U1: decoder38 port map(A=>A,B=>B,C=>C,G1=>G1,G2A=>G2A,G2B=>G2B,Y=>Y);END behavior;11.将文件保留为 decoder38_tb.vhd ,编译经过。
《EDA技术》实验报告
《EDA技术》实验报告
本次实验报告是关于EDA技术的研究和应用。
EDA技术全称电子设计自动化技术,能
够实现电子设计的自动化和优化。
首先,我们讨论了EDA技术的应用范围。
EDA技术主要应用于现代集成电路的设计和
制造,目的是提高电路的性能,并减少设计和制造的成本和时间。
EDA技术可用于设计各
种电路,包括数字电路、模拟电路、混合信号电路和射频电路等。
其次,我们介绍了EDA技术的主要工具。
EDA技术工具包括原理图编辑器、电路模拟器、布局编辑器和综合工具等。
这些工具可以协同工作,在电路设计的不同阶段对电路进
行分析和优化。
接着,我们描述了EDA技术的设计流程。
EDA技术的设计流程分为四个主要阶段:设计,模拟,综合和布局。
在设计阶段,设计师使用原理图编辑器和其他工具来设计电路。
在模拟阶段,设计师将电路模型装入电路模拟器中,并进行仿真以验证电路的功能和性能。
在综合阶段,设计师使用综合工具将电路转换为特定的逻辑网表文件。
在布局阶段,设计
人员使用布局编辑器来设置电路的物理布局。
最后,我们讨论了EDA技术的优缺点。
EDA技术的主要优点是提高电路设计的效率和
准确性,并减少了设计和制造的成本和时间。
然而,EDA技术也存在一些缺点,例如,设
计人员需要具备高水平的技术和知识,否则可能出现算法错误或设计缺陷。
综上所述,EDA技术在现代电子设备设计和制造中起着非常重要的作用,技术的发展
将会极大程度上促进电子设备的设计和制造的进步和发展。
4选1多路选择器和8位加法器实验报告
《电子设计自动化》课程实验报告
(2)选择配置器件的工作方式。
(3)选择配置器件和编程方式。
(4)选择目标器件引脚端口状态。
四、全程综合与编译
(1)启动全程编译。
五、时序仿真
(1)打开波形编译器。
(2)设置仿真时间区域。
设定整个仿真域的时间为50us。
(3)波形文件存盘。
(4)将工程MULT4B的端口信号节点选入波形编译器中。
(5)总线数据格式设置和参数设置。
(6)编辑输入波形数据(输入激励信号),编辑好输入波形。
(7)仿真器参数设置。
(8)启动仿真器。
(9)观察仿真结果。
5.1.1 4选1多路选择器(Timing)
5.1.2 4选1多路选择器(Functional)
5.1.3 4选1多路选择器综合结果
将end time设为100ns,可得更加清晰的时延效果图:5.1.4 4选1多路选择器(Timing)
5.1.5 4选1多路选择器(Functional)
5.2.1 8位加法器(Timing)
5.2.2 8位加法器(Functional)
5.2.3 8位加法器综合结果
同理将end time设为100ns,可得更加清晰的时延效果图:5.2.4 8位加法器(Timing)
5.2.4 8位加法器(Functional)。
电子科技大学电子设计自动化EDA实验报告
EDA实验报告一、设计思路这个实验的最终成品是利用无缘蜂鸣器发出频率不断变小的声音,表现为音调不断下降,就像是飞机被击落的音调。
设计初期是想令发声器发出不同的音调,但是如果要编程歌曲比较困难。
于是考虑通过循环改变分频系数来进行变频,首先对时钟进行第一次分频,设置成周期为1ms的分频;然后设置分频循环为16到256,每1ms改变一次分频数;另开一个分频按16到256进行分频了,第三分频的最高位进行输出。
仿真过程没有问题,下载时发声不能,复位指示灯显示正常,跳频指示灯不闪烁。
上网查无源发生器的发生频率在1k到4k之间,而16到256分频是132k到2.11M,频率过大无法发声。
因此改为从8192分频到32768分频,为了编程方便在第二分频加八位,第一分频减八位,因此总的发声循环不发生改变,同时降低输出频率。
最终结果成功!二、编程源码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity sound isport (clk,clr:in std_logic;output,light1,run:out std_logic);end sound;architecture rtl_sound of sound issignal cnt:std_logic_vector(12 downto 0);signal spt:std_logic_vector(13 downto 0);signal snd:std_logic_vector(12 downto 0);signal ver:std_logic;beginprocess(clk,clr)beginif(clr='0')thenspt<="10011101111001";elsif(rising_edge(clk))thenif(spt="00000000000000")thenspt<="10011101111001";elsespt<=spt+"11111111111111";end if;end if;end process;process(spt(13),clk,clr)beginif(clr='0')thencnt<="0000111111111";elsif(rising_edge(spt(13)))thenif(cnt="1111111111111")thencnt<="0000111111111";elsecnt<=cnt+"0000000000001";end if;end if;end process;process(clk,clr)beginif(clr='0')thensnd<=cnt;ver<='1';elsif(rising_edge(clk))thenif(snd="0000000000000")thensnd<=cnt;ver<=not ver;elsesnd<=snd+"1111111111111";end if;end if;end process;output<=ver;run<=clr;light1<=cnt(12);end rtl_sound;三、仿真结果可以看到输出的频率越来越小,对应发生音调越来越低图示cnt为此状态应分频数(count),spt为第一分频(seperate),snd为按照cnt的数目进行分频,ver取snd的最高位。
电子设计实训实验报告
一、实验目的1. 掌握电子设计的基本流程和常用工具;2. 熟悉电子元器件的识别、选用和焊接技术;3. 提高动手能力,培养解决实际问题的能力;4. 增强团队合作意识,提高团队协作能力。
二、实验内容本次实验共分为六个部分,分别是:1. LED流水灯设计;2. 数码管动态显示;3. 数字时钟(计数器);4. 正弦信号发生器设计;5. 任意波形发生器设计;6. 硬件消抖电路设计。
三、实验原理1. LED流水灯设计:通过单片机控制LED灯的亮灭,实现流水灯效果。
原理是利用单片机的定时器产生一个周期性的方波信号,通过控制方波的占空比来改变LED 灯的亮灭时间,从而实现流水灯效果。
2. 数码管动态显示:通过单片机控制数码管显示数字。
原理是利用单片机的定时器产生一个周期性的方波信号,通过控制方波的占空比来改变数码管上各个段码的亮灭,从而实现数字的动态显示。
3. 数字时钟(计数器):通过单片机实现一个简单的数字时钟。
原理是利用单片机的定时器产生一个周期性的方波信号,通过计数器对时钟信号进行计数,得到当前时间。
4. 正弦信号发生器设计:通过单片机产生正弦波信号。
原理是利用单片机的定时器产生一个周期性的方波信号,通过查表法得到正弦波信号。
5. 任意波形发生器设计:通过单片机产生任意波形信号。
原理是利用单片机的定时器产生一个周期性的方波信号,通过改变占空比和波形参数,得到所需的任意波形信号。
6. 硬件消抖电路设计:通过设计硬件电路,消除按键输入信号中的抖动。
原理是利用电容和电阻组成的RC电路,对输入信号进行滤波,消除抖动。
四、实验器材1. 单片机开发板;2. LED灯;3. 数码管;4. 按键;5. 电容、电阻、三极管等常用电子元器件;6. 万用表;7. 焊接工具;8. 电路板等。
五、实验步骤1. LED流水灯设计(1)搭建电路:将LED灯与单片机的IO口相连,设置IO口为输出模式。
(2)编写程序:编写单片机程序,实现LED流水灯效果。
电子厂实验报告
实验名称:电子厂生产线自动化改造实验实验日期:2023年3月15日实验地点:某电子厂生产线实验目的:1. 了解电子厂生产线的现状和存在的问题。
2. 探讨自动化改造对提高生产效率、降低成本和提升产品质量的作用。
3. 评估自动化改造的可行性和经济效益。
一、实验背景随着科技的不断发展,自动化技术在各个行业中的应用越来越广泛。
电子厂作为我国制造业的重要组成部分,其生产线的自动化改造已成为提升企业竞争力的关键。
本次实验旨在通过对某电子厂生产线的自动化改造,探讨其对生产效率、成本和产品质量的影响。
二、实验内容1. 生产线现状调查通过对电子厂生产线的实地考察,了解到以下现状:(1)生产流程:包括原材料入库、加工、组装、检测、包装等环节。
(2)生产设备:主要有机床、机器人、检测设备等。
(3)人员配置:包括操作工、技术员、管理人员等。
(4)存在的问题:生产效率低、产品质量不稳定、生产成本高、人员依赖性强等。
2. 自动化改造方案设计针对存在的问题,提出以下自动化改造方案:(1)采用自动化生产线,实现生产过程的自动化、智能化。
(2)引入机器人、自动化检测设备等,提高生产效率和产品质量。
(3)优化生产流程,减少人工干预,降低生产成本。
(4)培训操作人员,提高其技能水平,降低人员依赖性。
3. 自动化改造实施(1)设备选型:根据生产需求,选择合适的自动化设备,如机器人、自动化检测设备等。
(2)系统设计:设计自动化生产线的控制系统,实现生产过程的自动化、智能化。
(3)人员培训:对操作人员进行培训,使其掌握自动化设备的操作和维护技能。
(4)实施改造:按照设计方案,进行自动化生产线的改造。
4. 自动化改造效果评估(1)生产效率:改造后,生产线产能提高30%,生产周期缩短20%。
(2)产品质量:自动化生产线提高了生产精度,产品质量合格率提高10%。
(3)生产成本:通过优化生产流程,降低人工成本和设备维修成本,生产成本降低15%。
(4)人员依赖性:自动化改造后,人员依赖性降低,操作人员可以更加专注于生产管理和技术创新。
电子设计自动化(EDA)
课程编号:B06060025电子设计自动化(EDA)实验指导书目录实验一、原理图设计基础 (2)实验二、设计电路原理图 (8)实验三、设计层次原理图 (17)实验四、编译项目及生成原理图报表 (22)实验五、原理图元件库的管理 (26)实验六、印制电路板设计基础 (34)实验七、稳压电源PCB板设计 (41)实验八、单片机最小系统的PCB板设计 (52)附录1 常用原理图元件符号、PCB封装及所在库 (59)附录2 Protel DXP常用快捷键 (71)实验一、原理图设计基础一、实验目的1、熟悉原理图设计界面,新建与保存设计文件。
2、设置图纸大小、方向、颜色和格点以及放置元器件。
二、实验器材1、台式计算机1台。
2、Protel DXP实验软件1套。
三、实验说明台式计算机用于向Protel DXP实验软件提供画图的平台,供用户使用。
四、实验原理说明7805是三端稳压集成芯片,电子产品中,常见的三端稳压集成电路有正电压输出的78 ××系列和负电压输出的79××系列。
它的样子像是普通的三极管,TO- 220 的标准封装,也有9013样子的TO-92封装。
五、实验内容和步骤绘制出如图1-1所示的稳压电源电路原理图,其中纸型设置为A4、改变工作区颜色、方向设置为水平方向,最后将图纸命名为“Power-7805.SchDoc”进行保存。
图1-1 稳压电源电路原理图1、建立PCB 设计项目,启动原理图编辑器并保存新建原理图文件(1)从 Protel DXP 的主菜单下执行命令 File/New/PCB Project,建立一份PCB设计项目,如图1-2所示。
在“Projects”面板中使用鼠标右键单击项目“PCB Project1.PrjPCB”,将弹出如图1-3所示的保存菜单。
在该菜单中选择“Save Project”命令,在弹出保存文件对话框,命名为Power.PRJPCB。
电子设计实验报告
电子设计实验报告电子设计实验报告引言电子设计实验是电子工程专业的基础实验之一,通过实践操作和实验数据的分析,帮助学生巩固和拓展所学的电子设计理论知识。
本文将对我在电子设计实验中的实验内容、实验过程和实验结果进行详细阐述。
实验内容本次电子设计实验的主题是“放大器设计与实现”。
实验要求我们设计并实现一个特定功能的放大器电路,并通过实验数据验证其性能指标。
放大器是电子设备中非常重要的一种电路,它能够将输入信号放大到所需的幅度,并保持其波形不失真。
在实验中,我们需要选择合适的放大器类型、电路拓扑和元器件参数,以满足给定的放大倍数、频率响应和失真要求。
实验过程首先,我们在实验前进行了必要的理论学习,包括放大器的基本原理、不同类型放大器的特点和应用场景等。
然后,我们根据实验要求选择了适合的放大器类型和电路拓扑,并设计了相应的电路图。
在设计过程中,我们需要考虑电路的稳定性、抗干扰能力和功耗等因素,以及元器件的可获得性和成本等因素。
接下来,我们准备了所需的元器件和实验设备,并进行了电路的组装和连接。
在组装过程中,我们需要注意元器件的正确安装和连接,以及电路的可靠性和稳定性。
一旦电路组装完成,我们就可以进行实验测试了。
在实验测试中,我们首先对电路进行了静态工作点的调整,以确保电路在正常工作范围内。
然后,我们通过信号发生器输入不同频率和幅度的信号,测量输出信号的幅度、相位和失真程度等参数。
通过对实验数据的分析,我们可以评估电路的增益、带宽、噪声和非线性失真等性能指标,以及对不同频率信号的放大效果。
实验结果根据实验数据的分析,我们得出了以下结论:1. 电路的放大倍数在设计要求范围内,并且在整个频率范围内保持相对稳定。
2. 电路的频率响应满足要求,能够在给定的频率范围内放大信号。
3. 电路的失真程度较低,能够保持输入信号的波形基本不失真。
4. 电路的噪声水平较低,对输入信号的干扰较小。
结论通过本次电子设计实验,我深入理解了放大器的工作原理和设计方法,并通过实践操作和实验数据的分析,巩固了所学的电子设计理论知识。
EDA实验报告
EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。
二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。
通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。
2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。
常见的组合逻辑电路有加法器、编码器、译码器等。
3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。
常见的时序逻辑电路有计数器、寄存器等。
四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。
2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。
3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。
五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。
(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。
(3)对设计进行编译,检查是否存在语法错误。
(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。
2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。
(2)编写测试代码,对计数器进行仿真验证。
(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。
3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。
(2)进行编译和仿真,确保译码器的功能正确。
(3)将译码器与计数器连接起来,实现数码管的动态显示。
电子设计自动化EDA技术实验三报告模板-8线-3线优先编码器设计[1]
湖南安全职业技术学院实验报告课程名称电子设计自动化EDA技术实验项目名称8线-3线优先编码器设计实验学生班级电信0901实验学生姓名熊飞同组学生姓名颜林、陈伟实验时间实验地点EDA实训室实验成绩评定指导教师签字年月日24其中IN 表示输入编码位,Sel 为片选信号,Y 表示输出编码值,YS 与YEX 表示器件状态,“11”表示器件未选中,“01”表示无键按下,“10”表示器件工作态。
四、实验方案设计、实验方法 1. 实验方案8-3优先编码器的VHDL 描述有多种方法,设计过程中可以根据真值表采用case …when 语句、with …select 语句、if …then 结构等多种手段实现,也可以根据真值表分析输入输出间的逻辑关系,根据逻辑关系写出其布尔表达式,根据布尔代数式调用基本逻辑门元件实现8-3优先编码器。
本实验中根据真值表用if-then 结构实现8-3优先编码器 2. 实验方法首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。
五、实验步骤1. 设计输入 利用FILE\New 菜单输入VHDL 源程序,创建源文件2. 设计项目的创建1) 原文件存储…..2) 利用FILE\Project\Set Project … 3. 设计编译 ….IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 SelY0 Y1 Y2 YS YEX6管脚分配情况如图,所选器件为EPM7032AELCC44-43. 仿真波形8线-3线优先编码器的仿真波形如下图,从波形可以得出,输入输出满足前文真值表,电路功能达到设计要求4. 时序分析图上述时间分析可以得到,输出信号存在最大4.5时间延迟,它主要与器件速度、表达逻辑的合理性有关,选用速度更高器件、优化设计可以使该值降低。
七、结论采用图形编程法实现了8线-3线优先编码器的设计,并完成了电路的设计编译、综合、逻辑仿真、时间分析,结果表明采用ALTRA的CPLD器件设计的8线-3线优先编码器,时间延迟为不超过4.5ns八、思考题8线-3线优先编码器的设计方法还可以通过什么方式实现?利用VHDL实现8线-3线优先编码器方法多样,还可以通过诸如case-when等其他结构实现…..8。
eda课程设计实验报告
eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用所学知识,设计并实现基本的数字电路。
3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。
技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。
2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。
3. 学生在小组合作中,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。
2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。
3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。
学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。
教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。
eda实验报告计数器
eda实验报告计数器EDA实验报告-计数器引言:计数器是数字电路中常用的基本模块之一,它在各个领域都有着广泛的应用。
本实验旨在通过EDA(电子设计自动化)软件进行计数器的设计与仿真,探索计数器的原理和功能。
一、计数器的基本原理计数器是一种能够按照规定的顺序改变其输出状态的电子电路。
它通过内部的触发器和逻辑门实现数字信号的计数功能。
常见的计数器有二进制计数器、十进制计数器等。
二、实验设计与仿真1. 实验目标本次实验的目标是设计一个4位二进制计数器,并通过EDA软件进行仿真验证。
计数器的功能是在每个时钟脉冲到来时,输出的二进制数加1。
2. 设计思路计数器的设计需要考虑以下几个方面:- 选择适当的触发器:本实验选择了D触发器作为计数器的基本单元,因为D触发器具有简单、易于控制的特点。
- 确定计数器的位数:本实验设计了一个4位计数器,即可以表示0~15的二进制数。
- 连接逻辑门:通过逻辑门将各个触发器连接起来,实现计数器的功能。
3. 电路设计根据设计思路,我们使用EDA软件进行电路设计。
首先,将4个D触发器连接起来,形成4位计数器。
然后,根据计数器的功能要求,将时钟信号连接到每个触发器的时钟输入端。
最后,将各个触发器的输出通过逻辑门进行连接,得到计数器的输出。
4. 仿真验证完成电路设计后,我们使用EDA软件进行仿真验证。
通过输入不同的时钟信号,观察计数器的输出是否符合预期。
在仿真过程中,我们可以调整时钟信号的频率,观察计数器的计数速度。
三、实验结果与分析通过EDA软件的仿真,我们得到了计数器的输出结果。
在时钟信号的作用下,计数器按照预期进行了计数,并输出了相应的二进制数。
通过观察输出结果,我们可以得出以下几点结论:- 计数器的输出与时钟信号的频率有关,频率越高,计数速度越快。
- 计数器的输出按照二进制的顺序进行计数,当达到最大值时,会从0重新开始计数。
四、实验总结本次实验通过EDA软件进行了计数器的设计与仿真。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1).更改屏幕分辨率
2).界面字体设置
8、在Protel99se中建立自己的设计数据库Design.ddb。
实验二Protel 99 SE原理图绘制操作实验
日期:2015.5.10
实验内容与分析:
1).设置电路图纸
假定系统已进入原理图编辑器,提出以下要求:
A.图纸大小:B号;
B.图纸方向:水平方向放置;
C.标题栏型式:标准型标题栏。
2).将库文件“Miscellaneous Devices.ddb”, “Dallas Microprocessor.ddb”,“Intel Databooks.ddb”, “Protel Dos Schematic Libraries.ddb”依次装入。
实验三设计一张Protel 99 SE电路原理图实验
日期:2015.5.27
实验内容与分析:
1、启动Protel99se,新建一个设计数据库文件,名称定为“姓名”.ddb。
2、启动电路原理图编辑器,建立一个原理图文件,命名为“姓名”.sch。
3、先分析电路图中所有元器件的属性,装入元器件库Sim.ddbMiscellaneous Devices.ddb 和Protel DOS Schematic Libraries.ddb。
6、打印输出。
实验五Protel 99 SE印制电路板图绘制操作实验
日期:2015.6.10
实验内容与分析:
1、启动Protel99se,新建一个设计数据库文件,名称定为“姓名”.ddb。
2、启动印制电路板设计编辑器,建立一个PCB文件,命名为“姓名”.pcb。
3、练习绘制导线,放置焊盘和焊盘属性的设置。
d)【Part】:元件型号,输入“DS80C320”
e)【Sheet】:图纸号,暂时不填。
f)【Part】:功能块序号,此项属性用于含有多个相同功能块的元件。
3).设置结束后,单击OK按钮即可。
8、设置网格、电气节点和光标
包含在第10个实验内容中
9、原理图设计步骤
10、原理图参数设置
执行Tools|Preferences后通过Schematic选项卡、Graphical Editing选项卡和Default Primitives选项卡来实现,分别如下图所示:
步骤:1). 【File】|【New】
2). 单击Browse按钮,选择文件的存储位置,Protel 99 SE默认文件名为“My desigh .ddb”。
3). 单击【OK】们就创建了一个新的设计数据库文件。
4、启动原理图编辑器
步骤:1). 【File】|【New】
2). 单击Schematic Document 【OK】或直接双击
7、编辑元件的属性
上机实例:编辑单片机DS80C320MCG的属性。
步骤:1). 用鼠标左键双击元件DS80C320MCG
2). 在弹出的对话框中设置元件的属性,主要设置以下属性。
a)【LibRef】:元件库中的型号(不允许修改)
b)【Footprint】:元件的封装形式,输入“DIP-40”
c)【Designator】:元件序号,输入“U1”
***************
实验报告
课程名称:电子设计自动化
小组成员及学号:_______________
_________
_______________
_______________
_______________
**********************
***********
实验一Protel 99 SE设计环境认识实验
2、设置电气检验规则,即产生ERC表的各种选项。有Setup和Rule Matrix两个选项卡。如下图:
3、执行Tools|ERC ,产生ERC报表,如下图:
4、执行Design|Create Netlist,产生网络表 。如下图所示(截取一部分网络表):
5、执行Report|Cross Reference,产生交叉表 。如下图所示:
4、然后把所有元器件和端口放置到电路原理图纸上,并把位置放好。
5、按照上图所示,给相应的引脚添加网络标签,并连接所有的连线。
6、保存电路图。
实验结果
实验四电气规则检验和生成报表实验
日期:2015.6.3
实验内容与分析:
1、启动Protel99se,新建一个设计数据库文件,建立上图所示的原理图文件名称定为“姓名”.ddb。
日期:2015.04.05
实验内容与分析:
1、启动Windows 98/2000操作环境。
2、打开目录:"C:\Program Files\Design Explorer 99 SE\Client99SE.exe",执行protel99se应用程). 单击Explore 下的Sheet1或直接双击工作窗口中的Sheet1
5、启动印制电路板编辑器
步骤: 1). 【File】|【New】
2). 单击PCB Document 图标 【OK】或直接双击。
6、不同编辑器之间的切换
方法:用鼠标单击标签或者先建立相应的文件,然后双击该文件可以打开相应的编辑器。
3、放置元件
在元件库中选定所需元件,然后放置元件到工作平面上。
4、删除元器件
1)菜单命令【Edit】|【Delete】
2)当光标变为十字形后,将光标移到要删除的元件处,单击鼠标左键即可将所指元件删除。此后,程序仍处于删除命令状态,若要退出单击鼠标右键或按ESC键退出命令状态。
5、元件移动
菜单命令:【Edit】|【Move】|【Move】
4、练习放置过孔,过孔属性设置和补泪滴的设置。
5、练习放置字符串,放置坐标,设置初始原点,绘制圆弧和圆。
6、练习规划电路板和电气定义。
7、练习网络表与元器件的装入。
8、练习生成电路板图。
9、练习自动布局,手动调整布局。
8、练习自动布线和手工调整。
思考:
上机实例:单独移动一个非门元件。
步骤:1).执行移动命令。
2).点选元件
3).移动元件
技巧:直接用鼠标左键单击元件,保持左键按下状态(此时光标变为十字形),移动鼠标即能进行单个元件的移动。
6、元件的旋转
【Space】:每击一下元件旋转900。
X键:每击一下使元件左右对调。
Y 键:每击一下使元件上下对调