音乐发生器课程设计

合集下载

EDA课程设计——音乐发生器

EDA课程设计——音乐发生器

PLD与数字系统实训设计题目:音乐发生器系别:班级:指导老师:姓名:学号:完成时间:年月日课程设计题目、内容、要求目录1、课程设计题目、内容、要求………………………………1.1 设计目的 (1)1.2 设计要求 (1)2、设计思路 (1)2.1 音名与频率的关系 (2)2.2 音长的控制 (3)2.3 演奏时音名的动态显示 (3)3、VHDL代码 (3)4、仿真结果 (10)5、硬件下载验证 (11)6、心得体会(总结) (11)7、附录 (12)8、附图1 (14)9、附图2 (15)题目:音乐发生器1.1 设计目的:利用可编程逻辑器件和一个小扬声器设计一个音乐发生器。

1.2 设计要求:利用PLD器件设计一个音乐发生器,可演奏“梁祝”片段,可重复演奏。

2. 设计思路:组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素,问题是如何来获取这两个要素所对应的数值以及通过可编程逻辑器件的手段来利用这些数值所希望乐曲的演奏效果。

扬声器数码管音乐产生器原理框图2.1 音名与频率的关系音乐的十二平均率规定:每两个八音度(如简谱中的中音1与高音1)之间的频率相差一倍。

在两个八音度之间,又可分为十二个半音,每两个半音的频率比为2的12方根。

另外,音名(简谱中的低音6)的频率为440Hz,音名B到C之间、E到F之间为半音,其余为全音。

由此可以计算出简谱中从低音1到高音1之间每个音名的频率如下表所示。

各音阶频率对应的分频值由于最大的分频系数诶6067,故采用13位二进制计数器已能满足分频要求。

在上表中,除给出了分频比以外,还给出了对应于各个音阶频率时计数器不同的初始值。

对于不同的分频系数,只要加载不同的初始值即可。

采用加载初始值而不是将分频输出译码反馈,可以有效地减少本设计占用可编程逻辑器件的资源,这也是同步计数器的一个常用设计技巧。

对于乐曲中的休止符,只要将分频系数设为0,即初始值为213-1=8191即可,此时扬声器将不会发声。

可编程音乐发生器课程设计

可编程音乐发生器课程设计

一设计目的……………………………………………………二设计要求……………………………………………………三电路的总体结构……………………………………………四各部分电路设计……………………………………………五整体电路图…………………………………………………六设计总结……………………………………………………七参考文献………………………………………………………1、进一步熟悉数字电路中计数器,555定时器等中规模逻辑器件的综合使用。

2、学习可编程音乐发生器的工作原理。

3、 通过这次课程设计,了解使用数字电子知识来解决电子线路的实际问题的能力,以便更好掌握所学的知识,培养一定的动手能力。

二、设计要求1、可产生5 6 7 1 2 3 4 5 6 7 1 2 等12个音调。

2、要求使用555定时器、计数器。

3、可循环重复播放某一乐曲。

三、总体设计四、各部分电路设计1、555定时器555由比较器C1和C2、基本RS 触发器和集电极开路的放电三极管T 三部分组成。

图4.1 555内部结构图4.2 555内部引脚图1.1 555定时器的工作原理555定时器是一种数字与模拟混合型的中规模集成电路,应用广泛。

外加电阻、电容等元件可以构成多谐振荡器,单稳电路,施密特触发器等。

555定时器原理图及引线排列如图4.1、4.2所示。

其功能见表4.1。

定时器内部由比较器、分压电路、RS触发器及放电三极管等组成。

分压电路由三个5K的电阻构成,分别给A1和A2提供参考电平2/3VCC和1/3VCC。

A1和A2的输出端控制RS触发器状态和放电管开关状态。

当输入信号自6脚输入大于2/3VCC时,触发器复位,3脚输出为低电平,放电管T导通;当输入信号自2脚输入并低于1/3VCC时,触发器置位,3脚输出高电平,放电管截止。

4脚是复位端,当4脚接入低电平时,则V0=0;正常工作时4接为高电平。

5脚为控制端,平时输入2/3Vcc作为比较器的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制。

音乐发生器的设计与实现

音乐发生器的设计与实现

实验课程名称:监测控制系统应用实验五实验项目名称:音乐发生器的设计与实现实验成绩:实验者:专业班级:电信130 班同组者:实验日期:周四3~4节课一.实验内容掌握单片机片内定时器应用设计技巧,会确定定时器的时间常数,能够进行定时器的初始化编程。

掌握利用微处理器设计音乐发生器的方法二.探究内容1.利用定时器产生特定的频率信号,设计一个音乐发生器,可以循环播放音乐,候选乐曲3首。

2.用按键选择播放哪一首音乐。

有音乐播放的启停键。

3.用8个LED灯随节拍闪烁(选做)4.扩展内容(选做),用7个按键分别产生音阶1、2、3、4、5、6、7,按一下键,即产生一个节拍的相应音符,也就是设计电子琴。

三.实验设计:1.设计要求具体任务:(1)设计一个音乐发生器,候选音乐有三种,K1可启动停止音乐,K2用于选择音乐段;(2)用proteous仿真电路,保证设计的正确性;(3)基于开发板完成实物制作。

2. 探究内容:(1)如何利用音阶计算定时器的时间常数?(2)音乐声音的洪亮稳定如何保证?(3)节拍如何实现?三、实验设计:1.设计音乐发生器的基本任务的基本任务:(1)研究产生音阶1、2、3、4、5、6、7的方法,计算7个音阶对应的时间常数 (2)设计产生单首乐曲循环的软件,调试后下载到开发板(3) 设计产生3首乐曲的音乐发生器,用按键选择循环的单曲,调试后下载到开发板,运行。

2.相关知识介绍2.3 音阶对应频率计数初值的计算单片机的振荡频率为f osc =12MHz ,通过定时器T0溢出后对P3.0口取反产生方波,故定时器溢出时间为1/2f 。

由:nosc n f f X ⨯=⨯-2112)2(16 , 则定时初值为:16224osc n n f X f =- 以音阶“1”为例:f =523 Hz ,则T =1/f定时初值:6161612102264580442424523osc n n f X FC Hf ⨯=-=-==⨯用同一方法可求出其它音阶所对应的频率定时初值,将其制表放在程序中,通过查表向定时器T0装入所要求的定时初值,即可产生某一音阶所对应的频率的方波信号。

基于单片机的音乐发声器的设计(完整版,含程序和电路图)

基于单片机的音乐发声器的设计(完整版,含程序和电路图)

电子技能课程设计报告书课题名称 音乐发生器的设计姓 名 学 号 091250241 院 系 通信与电子工程学院 专 业 电子科学与技术指导教师2012年 6 月4日※※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※※2009级学生电子技能课程设计一、设计任务及要求:本设计采用AT89C51制作简单音乐发生器,通过开关1控制蜂鸣器播放设计的音乐程序,再次按下开关1可切换歌曲,共两首歌曲。

通过开关2控制电路进入花样灯模式,再次按下开关2可切换LED灯闪烁样式,共三种闪烁样式指导教师签名:2012年 6 月 4 日二、指导教师评语:指导教师签名:2012年 6 月4 日三、成绩验收盖章2012年 6 月4 日目录音乐发生器的设计 (1)1 设计目的 (1)2 设计的主要内容和要求 (1)3 整体设计方案 (1)4 硬件电路的设计 (2)4.1 系统总电路及信号流程 (3)4.2 LED显示电路的设计 (3)4.3 时钟振荡电路的设计 (3)5 软件设计 (3)5.1音调、节拍以及编码的确定 (3)5.2 主要程序设计 (4)6 系统仿真 (6)6.1 系统仿真环境及参数设置 (6)6.2系统仿真结果及其分析 (7)6.2.1系统仿真图 (7)6.2.2 花样灯3种花样图 (7)7 使用说明 (9)8 设计总结 (9)参考文献 (10)附件A (11)音乐发生器的设计李熙(湖南城市学院通信与电子工程学院电子科学与技术专业,益阳,413000)1 设计目的本设计是以AT89C51芯片的电路为基础,外部加上放音设备,以此来实现音乐演奏控制器的硬件电路,通过软件程序来控制单片机内部的定时器使其演奏出优美动听的音乐。

用户可以按照自己的喜好选择音乐并将其转化成机器码存入单片机的存储器中。

对于不同型号的单片机只需要相应的改变一下地址即可。

该软、硬件系统具有很好的通用性,很高的实际使用价值,为广大的单片机和音乐爱好者提供了很好的借鉴。

EDA课程设计报告--音乐发生器设计

EDA课程设计报告--音乐发生器设计

EDA课程设计报告一音乐发生器设计电子课程设计------- 音乐发生器设计学院:电子信息工程学专业班级:通信工程101501姓名:孔翔学号:201015030109指导教师: 李东红2012年12月音乐发生器设计目录-=设计任务与要求二:总体框图三:选择器件1: Songer 模块l)music模块(程序)2) NoteTabs模块(程序仿真图)3) ToneTaba模块(程序仿真图)4) Speakera模块(程序仿真图)2= div模块(程序仿真图)123:七段译码器模块(程序)14五=总体设计电路1.顶层设计VHDL描述songer模块 (16)2.顶层文件的仿真结果...............................3.管脚分配图...............................4.EDA硬件验证..............................六:心得体会19七:参考资料21乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。

实现方法有许多种,在众多的实现方法中,以纯硬件完成乐曲演奏,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。

如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。

使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。

FPGA预装了很多已构造好的参数化库单元LPM器件。

通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。

本文介绍在EDA开发平台上利用VHDL语言设计数控分频器电路,用数控分频的原理设计音乐硬件演奏电路,并定制LPM-ROM存储音乐数据,以《挥着翅膀的女孩儿》《菊花台》乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。

音乐发生器论文

音乐发生器论文

音乐发生器的设计1、绪论音乐发生器是由8255A可编程并行接口和可编程定时计数器8253芯片组成。

用8253芯片产生一定频率的方波,通过控制输出方波时间的长短,声音的长短就得到控制。

8255A具有多种功能的可编程并行接口电路芯片。

本次接口课程设计是音乐发生器的设计,用发生器能演奏一首完整的歌。

主要是8253方式选择。

以及如何使用MF2KP软件。

首先我们利用定时器产生各种频率的声音,然后再对其进行修改,并编写以整首歌的代码,旋律及拍数可以按音乐简谱而定。

需要编写对8253,8255进行初始化的程序及对8255芯片的PC0和PC6置0置1来选择歌曲,实现唱歌功能即实现歌曲的节拍通过调用延时子程序控制发音的长短。

8253用来实现将频率信号送到扬声器而发声。

通过编程选择计数器和设置工作方式,用MF2KP集成开发环境进行音乐发生器控制程序设计,调试直到听到正常的乐曲。

要完成本次课程设计必须先对微机接口原理与接口技术有一个全面的了解。

设计的主要目的使我们学生更进一步掌握微机原理及应用课程的有关知识,提高应用微机解决问题的能力,加深对危机应用的理解。

2、设计原理音乐乐曲的两个基本要素是音高和节拍。

因此要产生一个歌曲的音乐就要对音高和节拍进行控制。

音高指每个音符发声的高低,即音符的频率。

节拍指每个音符发声的长短,也就是音符的持续时间(延时)。

因此组成乐曲的每个音符的频率值和持续时间是编写歌曲音乐所需要的两个数据。

符号频率对照表1音符延时对照表2音符的持续时间根据乐曲的速度每个音符的节拍数确定。

在4/4拍中,四分音符为1拍,每小节4拍,全音符持续4拍,二分音符持续2拍,四分音符持续1拍,八分音符持续半拍。

如给全音符分配1秒的时间,则二分音符的持续时间为0.5秒,四分音符持续时间为0.25秒,八分音符持续时间为0.125秒。

根据歌曲的简谱及征服的歌曲为4/4拍的得到 《征服》歌曲的简谱为:5(低音) 5(低音) 1 1 2 2 1 2 3 5 3 3 5(低音) 6(低音) 6(低音)公式1:计数初值(n)=)()(out clk f f 发出声音的频率时钟频率根据公式1所得《征服》歌曲的频率为:196,196,262,262,294,294,262,294,330,392,330,330,196,220, 220;本次课设主要用到的芯片有8255A ,8253。

可编程音乐发生器

可编程音乐发生器

河南城建学院电子技术基础课程设计题目:可编程音乐发生器姓名:洪帅___学号:__091412115__专业班级:__ 0914121___指导老师: ___ 刘晓芳______所在院系:电气与信息工程学院2014年6月19日河南城建学院课程设计报告 1摘要本课程设计是可编程音乐发生器,根据音乐的几个音节频率的不同,设计的一个音乐音节发生器.全电路由三部分组成:以图3.3为主组成的音乐音节振荡器.有十六进制计数\脉冲分配器74LS161,74HC138组成的切换电路和由图3.1组成的为图3.2提供时钟的时钟脉冲发生器.在音阶振荡器中,电阻R11。

R12和电容Cx是决定电路振荡频率的元件,在本电路中将R11、R12 固定,通过改变Cx 的数值来改变振荡频率。

电路中,按照音乐的七个基本音阶,将Cx 分成十二组,即C5~C 17,每一个电容可以组成十二个音阶中的一个音阶,十二个电容组成振荡电路的567 1 2 3 4 5 6 7 12。

根据音乐音阶信号的分析测量,已知七个基本音阶的频率,可以算出每个电容的值。

音阶振荡电路由74LS161、74HC138等组成,74LS161是十六进制计数器,将信号脉冲转化为十二进制,再有74HC138与各个电容连接,因每个电容的容量不同,进而产生不同的信号传递到图3.3中。

时钟脉冲振荡器是有R1、R2、C以及555定时器组成的,由图3.1的管脚输入到图3.2中。

在模拟仿真之中,打开开关,会听到音符的声音。

目录1 概述 (3)1.1设计目的 (3)1.2设计要求 (3)1.3设计题目 (3)2 系统总体方案及硬件设计 ..... (4)2.1所用原件 (4)2.2结构框图 (4)2.3方案对比 (4)3 各模块设计 (5)3.1脉冲发生器 (5)3.2脉冲分配器 (6)3.3多谐振荡器 (8)3.4音乐功放 (9)4 软件仿真 (10)5课程设计体会 (11)5.1设计中遇到的问题 (11)5.2设计体会 (11)6参考文献 (12)1、概述1.1设计目的为了加深学生对数字电子技术的认识,提高学生的课程设计水平,加强学生的实验能力,学校开了“电子技术综合设计与实践”课程。

音乐发生器

音乐发生器

课程设计(论文)
课程名称:微型计算机基本原理与接口技术
题目:音乐发生器设计
院(系):信息与控制工程系
专业班级:电子信息科学与技术
姓名:
学号: 201206030110
指导教师:张爱萍
2015年 1 月 23 日
摘要
音乐发生器实现的一个主要步骤是乐谱编程。

通过相应的频率表将乐谱中对应的音符转换为计数器的初值,节奏通过延时子程序利用硬件实现频率计数和延时时间的控制,利用8253和8255a 使8253的通道2工作在“方波发生器”模式,实现对频率的计数。

知道了音调与频率和时间的关系,就可以按照乐曲的曲谱将每个音符的频率和持续时间定义成两个数据表。

用发生器能演奏一首完整的歌。

关键词:定时计数器8253,8255A可编程并行接口,音乐发生器
目录
1绪论 (1)
2设计原理 (2)
3设计程序 (4)
4系统联调 (9)
5总结 (10)。

VHDL音频发生器课程设计

VHDL音频发生器课程设计

VHDL音频发生器课程设计一、课程目标知识目标:1. 学生能理解VHDL语言的基本语法和结构,掌握利用VHDL进行数字电路设计的基本方法。

2. 学生能掌握音频发生器的原理,了解其工作流程及组成结构。

3. 学生能运用VHDL语言编写程序,实现一个简易的音频发生器。

技能目标:1. 学生能够运用所学知识,使用VHDL设计并实现音频发生器,提高实际操作能力。

2. 学生通过课程学习,培养解决实际问题的能力,学会查阅资料、分析问题、设计方案、调试程序等过程。

情感态度价值观目标:1. 学生在学习过程中,培养对电子设计、编程的兴趣和热情,提高创新意识和团队协作精神。

2. 学生能够认识到电子技术在现实生活中的应用,增强对科技发展的关注,培养社会责任感和使命感。

本课程针对高中年级学生,结合电子技术课程内容,以VHDL语言为基础,设计一个音频发生器。

课程性质为实践性、综合性,注重培养学生的动手能力和实际问题解决能力。

在教学过程中,要求教师引导学生积极参与,注重启发式教学,鼓励学生提出问题、解决问题,从而实现课程目标。

通过本课程的学习,学生能够达到以上所述的知识、技能和情感态度价值观目标,为后续相关课程学习打下坚实基础。

二、教学内容本课程教学内容主要包括以下几部分:1. VHDL语言基础:讲解VHDL的基本语法、数据类型、运算符、信号与变量等,对应教材第1章内容。

2. 数字电路设计原理:介绍数字电路设计的基本概念、原理和方法,重点讲解时钟信号、触发器、计数器等基本电路,对应教材第2章内容。

3. 音频发生器原理:分析音频发生器的工作原理、组成结构以及关键参数,对应教材第3章内容。

4. VHDL程序设计:结合实际案例,教授如何利用VHDL语言编写程序,实现数字电路的设计,对应教材第4章内容。

5. 音频发生器设计与实现:引导学生运用所学知识,设计并实现一个简易的音频发生器,包括程序编写、调试与优化等,对应教材第5章内容。

6. 实践操作与总结:安排学生进行实践操作,培养动手能力,并对设计过程中遇到的问题进行总结和分析,提高解决问题能力。

音频发生器的设计课程设计

音频发生器的设计课程设计

音频发生器的设计课程设计一、课程目标知识目标:1. 理解音频发生器的原理与功能,掌握相关电子元件的工作特性;2. 学会分析音频发生器的电路图,并能阐述其工作过程;3. 掌握音频发生器的设计方法,能够根据实际需求选择合适的元件和参数。

技能目标:1. 能够运用所学知识,独立设计并搭建一个简单的音频发生器电路;2. 学会使用相关仪器和软件进行音频信号的发生、检测和调试;3. 提高动手实践能力,培养解决问题的方法和技巧。

情感态度价值观目标:1. 培养学生对电子技术课程的兴趣,激发创新意识;2. 培养学生的团队合作精神,提高沟通与协作能力;3. 增强学生对我国电子科技发展的认识,激发爱国主义情怀。

课程性质:本课程为电子技术实践课程,旨在让学生通过设计音频发生器,掌握电子电路的基本原理和设计方法。

学生特点:学生处于高中年级,具有一定的物理和数学基础,对电子技术有一定了解,具备初步的动手实践能力。

教学要求:结合学生特点和课程性质,注重理论与实践相结合,强调动手实践,提高学生的实际操作能力。

通过课程目标的具体分解,使学生在完成学习后能够达到预定的学习成果。

二、教学内容1. 理论知识:- 音频信号基础:频率、幅度、波形等基本概念;- 电子元件:电阻、电容、二极管、晶体管等在音频发生器中的作用;- 电路原理:放大电路、振荡电路、滤波电路等基本原理。

2. 实践操作:- 电路图分析:学会阅读并理解音频发生器电路图;- 电路搭建:根据电路图,搭建一个简单的音频发生器;- 信号检测与调试:使用仪器和软件检测音频信号,进行调试。

3. 教学大纲:- 第一周:音频信号基础、电子元件介绍;- 第二周:放大电路、振荡电路原理;- 第三周:滤波电路、电路图分析;- 第四周:电路搭建、信号检测与调试。

4. 教材章节:- 《电子技术基础》第三章:放大电路;- 第四章:振荡电路与滤波电路;- 第八章:音频信号处理。

教学内容安排和进度根据课程目标和学生的实际掌握情况适时调整,确保学生能够充分理解和掌握音频发生器的设计原理与操作方法。

单片机课程设计 音乐发生器

单片机课程设计 音乐发生器

目录摘要 (1)绪论 (2)1.1研究的目的和意义 (2)1.2国内外研究的现状及发展趋势 (2)1.2.1国内外研究的现状 (2)1.3音乐发生器的扩展 (3)第1章设计方案 (4)1.1 设计方案论证 (4)1.1.1利用AT89C51、74LS373锁存器和27512外部扩展组成的音乐播放器 (4)第2章硬件设计 (5)2.1 电路组成及工作原理 (5)2.1.1 电路组成 (5)2.1.2 电路工作原理 (6)2.2 AT89C51的简介 (6)2.2.1 AT89C51功能概述 (6)2.2.2 AT89C51的管脚图 (7)2.2.3 AT89C5 单片机的引脚介绍 (7)2.2.4 晶振电路 (8)2.3 扬声器电路 (8)2.4 显示电路 (8)2.5 更换歌曲电路 (9)2.6 复位电路 (9)2.7 程序存储器外部扩展电路 (9)第3章软件系统设计 (10)3.1 软件流程设计 (10)第4章系统调试 (11)4.1 常用调试工具 (12)4.1.1 Keil C 软件 (12)4.1.2 PROTEUS软件 (13)4.2 系统调试及性能分析 (13)心得体会 (15)参考文献 (16)附录 (17)附录1 音乐发生器电路原理图 (17)附录2 元件明细表 (18)附录3 源程序代码 (19)摘要近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。

在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。

当今,利用单片机控制音乐播放多不胜举,音乐芯片也相当之多,而利用单片机存储音乐,控制播放最为广泛。

它有功能多﹑价格优﹑外围电路简单的特点,很受音乐爱好者及音乐芯片制造商的青昧。

本文中,用单片机芯片及少数外围电路控制音乐播放。

音乐发生器课程设计

音乐发生器课程设计

音乐发生器 课程设计一、课程目标知识目标:1. 学生能理解并掌握音乐的基础理论知识,如音符、音长、音高和音色。

2. 学生能掌握音乐发生器的基本操作,并了解其工作原理。

3. 学生能运用音乐发生器创作简单旋律,理解不同音符组合对音乐效果的影响。

技能目标:1. 学生能通过音乐发生器实践操作,提高动手能力和问题解决能力。

2. 学生能运用所学的音乐知识,创作并演奏出自己的音乐作品。

3. 学生能在团队协作中发挥个人特长,与他人共同完成音乐创作。

情感态度价值观目标:1. 学生培养对音乐的兴趣和热情,增强对音乐艺术的欣赏能力。

2. 学生在创作过程中,培养创新意识和实践精神,提高自信心。

3. 学生通过团队协作,培养集体荣誉感,学会尊重和理解他人。

课程性质:本课程为音乐与信息技术相结合的实践课程,注重培养学生的动手操作能力、创新意识和团队协作能力。

学生特点:五年级学生具备一定的音乐基础知识和信息技术操作能力,好奇心强,喜欢动手操作和团队活动。

教学要求:结合学生特点,教师应采用启发式教学,引导学生主动探索,关注个体差异,鼓励学生积极参与,使学生在实践中提高音乐素养和信息技术能力。

通过本课程的学习,实现以上课程目标,为学生后续音乐创作和信息技术的学习打下坚实基础。

二、教学内容1. 音乐基础知识回顾:音符、音长、音高、音色及五线谱的认识。

相关教材章节:第一章 音乐基础知识2. 音乐发生器介绍:介绍音乐发生器的基本结构、功能及操作方法。

相关教材章节:第三章 乐器与音乐制作3. 音乐创作实践:a. 使用音乐发生器创作简单旋律。

b. 学习不同音符组合对音乐效果的影响。

c. 尝试为旋律添加音色和节奏。

相关教材章节:第五章 音乐创作实践4. 团队协作与展示:a. 学生分组进行音乐创作,发挥各自特长。

b. 每组展示创作成果,进行交流和评价。

c. 教师点评,指导改进方法。

教学进度安排:第一课时:回顾音乐基础知识,介绍音乐发生器。

第二课时:学生动手实践,使用音乐发生器创作简单旋律。

音乐发生器(第一组)

音乐发生器(第一组)

湖南人文科技学院课程设计报告课程名称:微机接口技术与应用课程设计设计题目:音乐发生器系别:计算机科学技术系专业:计算机科学与技术专业组别:09级本科二班第一组组员:肖朋清凌豪文盼蔡珀英李少雄学号:09408201、09408202、09408203、09408204、09408205起止日期:20012.06.18 -2012.06.28指导教师: 刘庆目录一,课程设计目的和要求:............................................................................................................. - 3 -二,课程设计题目:......................................................................................................................... - 3 -三,课程设计平台环境:................................................................................................................. - 3 -四,实现功能及特色:..................................................................................................................... - 3 -1,功能: . (3)2,特色: (3)五,音乐发生器课程设计内容分析: ............................................................................................. - 4 -1,程序流程图如上:.. (4)2,程序: (4)六、调试分析..................................................................................................................................... - 9 -七、使用说明................................................................................................................................... - 10 -八、测试结果................................................................................................................................... - 10 -九,总结:....................................................................................................................................... - 10 -十、参考文献................................................................................................................................... - 11 -一,课程设计目的和要求:通过音乐发生器实验,熟悉基于8253定时/计数器的音乐发生电路的设计与程序控制方法。

电子音乐发生器课程设计

电子音乐发生器课程设计

电子音乐发生器课程设计一、课程目标知识目标:1. 学生能够理解并掌握电子音乐发生器的基本原理和组成部分;2. 学生能够了解电子音乐的创作流程,掌握基本的音序编排技巧;3. 学生能够熟悉并运用电子音乐发生器进行音乐创作,具备独立创作简单电子音乐作品的能力。

技能目标:1. 学生能够运用电子音乐发生器进行音色选择、音阶调整和节奏编排;2. 学生能够通过实践操作,掌握电子音乐的剪辑、混音和效果处理技巧;3. 学生能够运用所学的电子音乐制作技能,创作出具有个人特色的音乐作品。

情感态度价值观目标:1. 培养学生对电子音乐的兴趣,提高音乐审美能力;2. 培养学生团队协作意识,学会在创作过程中与他人沟通交流;3. 培养学生勇于创新、敢于尝试的精神,激发音乐创作潜能。

课程性质:本课程为实践性较强的学科,以电子音乐发生器为工具,结合音乐创作技巧,培养学生音乐创作能力和审美素养。

学生特点:五年级学生具有一定的音乐基础,对新鲜事物充满好奇心,善于模仿和创新。

教学要求:结合学生特点,以实践操作为主,注重启发式教学,引导学生主动探究,提高学生的动手能力和创作能力。

在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能在课程中收获成长。

通过课程目标的分解和教学设计,使学生在完成学习后,能够达到预期的学习成果。

二、教学内容1. 电子音乐发生器原理:介绍电子音乐发生器的基本工作原理,如振荡器、滤波器、放大器等组成部分的功能和作用。

相关教材章节:第一章 电子音乐发生器概述2. 音色与音阶:学习电子音乐发生器中的音色选择、音阶调整方法,掌握不同音色和音阶在音乐创作中的应用。

相关教材章节:第二章 音色与音阶3. 节奏与编排:教授基本的节奏概念,学习使用电子音乐发生器进行节奏编排,掌握简单的节奏创作技巧。

相关教材章节:第三章 节奏与编排4. 音序与混音:介绍音序器的使用方法,学习音乐作品的剪辑、混音和效果处理技巧,提高音乐作品的完整性和表现力。

EDA课程设计报告--音乐发生器设计

EDA课程设计报告--音乐发生器设计

EDA课程设计报告--音乐发生器设计电子课程设计————音乐发生器设计学院:电子信息工程学院专业班级:通信工程101501姓名: 孔翔学号: 201015030109指导教师: 李东红2012年12月音乐发生器设计目录一:设计任务与要求 (3)二:总体框图 (3)三:选择器件 (4)四:功能模块 (5)1:Songer模块 (6)1)music模块(程序) (9)2)NoteTabs模块(程序仿真图) (6)3)ToneTaba模块(程序仿真图) (7)4)Speakera模块(程序仿真图) (9)2:div模块(程序仿真图) (12)3:七段译码器模块(程序) (14)五:总体设计电路图 (1)1.顶层设计VHDL描述songer模块 (16)2.顶层文件的仿真结果…………………………………………3.管脚分配图…………………………………………4.EDA硬件验证…………………………………………六:心得体会 (19)七:参考资料 (21)前言乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。

实现方法有许多种,在众多的实现方法中,以纯硬件完成乐曲演奏,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。

如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。

使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。

FPGA预装了很多已构造好的参数化库单元LPM器件。

通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。

本文介绍在EDA开发平台上利用VHDL语言设计数控分频器电路,用数控分频的原理设计音乐硬件演奏电路,并定制LPM-ROM存储音乐数据,以《挥着翅膀的女孩儿》《菊花台》乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。

EDA音乐发生器课程设计报告书

EDA音乐发生器课程设计报告书

1.软件硬件1 EP3C40Q240C8芯片2 实验箱底板电路(包括蜂鸣器、7段数码管、Led灯1个、拨码开关3个、Key按键7个)3 QuartusⅡ9.04 计算2. 总体模块功能1使用两个时钟分别为12MHz和8Hz的时钟,分别供分频驱动器(数控分频器)与计数器使用。

2计数器完成计数功能,分为两个计数器counter1和counter2,counter1计数到269,counter2计数到163,都是在上升沿来临时计数。

3四个音乐模块分别记录了2首歌的乐谱。

根据上一模块计数器所计的数读取相应地址里的数据传递给下一模块。

4选择器完成选择歌曲的功能。

5数据翻译模块将选择器所选择的歌曲里的地址的数据翻译成分频驱动器(数控分频器)分频所需的控制数据、1个led灯数据(中低音)、以及译码器所需的数据。

6分频驱动器也就是一个数控分频器,完成分频的功能,并驱动蜂鸣器。

7译码器将乐谱数据在led数码管上显示。

3.各个模块图形及程序3.1 计数器及歌曲存储模块(notetabs)计数器完成计数功能,分为两个计数器,分别计到269和163,因为两首歌曲的最大字符分别为269和163,存储的两首歌是《采蘑菇的小姑娘》、《小糊涂神》,曲谱如下:1模块图形模块如图3-3所示:2 程序由于此程序较多,在附录中给出,见附录3 仿真波形图仿真图如图3-4所示:通过仿真图可以清楚的看到,每计一次数输出toneindex的值随之发生一定的变化,只不过根据乐谱的不同,输出的变化也不尽相同。

例如在本次设计中,自第8个时钟上升沿来临到第15个时钟上升沿,输出toneindex2均选取音符“5”。

然后把输出toneindex2输入到音调编码器模块,进行下一步编码工作。

3.2 手动模块(tone)可通过7个按键手动的进行演奏,7个按键分别代表7个音。

1模块图形模块如图3-5所示:rstCLKdtoneindex2[3..0]notetabsinstVCCpin_name INPUTVCCpin_name1INPUTVCCpin_name2INPUTpin_name3OUTPUT图3-3 notetabs模块图形key[6..0]toneindex1[3..0]toneinstVCCpin_name INPUT pin_name4OUTPUT图3-4 notetabs仿真波形图4.程序library ieee;use ieee.std_logic_1164.all;entity tone isport(key:in std_logic_vector(6 downto 0); toneindex1:out std_logic_vector(3 downto 0)); end;architecture one of tone isbeginsearch:process(key)begincase key iswhen"0000001"=>toneindex1<="0001"; when"0000010"=>toneindex1<="0010"; when"0000100"=>toneindex1<="0011"; when"0001000"=>toneindex1<="0100"; when"0010000"=>toneindex1<="0101"; when"0100000"=>toneindex1<="0110"; when"1000000"=>toneindex1<="0111";when others=>toneindex1<="0000";end case;end process;end;3 仿真波形图仿真图如图3-6所示:在此仿真图中不同的key相当于对应不同的琴键,当按下不同的琴键时输出相对应的toneindex1,toneindex1在输入音调编码器中进行下一步编码。

简易音符发生器课程设计

简易音符发生器课程设计

简易音符发生器课程设计一、课程目标知识目标:1. 学生能够理解简易音符发生器的原理与功能,掌握音符的基础知识,如音高、音长和音色。

2. 学生能够运用所学的音乐理论知识,识别并使用简易音符发生器创作简单的旋律。

3. 学生掌握音乐软件的基本操作,能够通过简易音符发生器输出乐谱。

技能目标:1. 学生能够操作简易音符发生器,自主创作并演奏简单旋律,提高音乐创作能力。

2. 学生通过实践操作,培养解决问题的能力和团队协作能力。

3. 学生能够运用信息技术手段,结合音乐学科,进行跨学科学习。

情感态度价值观目标:1. 学生在创作过程中,培养对音乐的热爱,提高音乐素养,激发创作潜能。

2. 学生通过音乐创作,学会欣赏他人的作品,培养尊重他人、团结协作的精神。

3. 学生在音乐创作过程中,体验成就感,增强自信心,培养积极向上的生活态度。

课程性质:本课程为音乐与信息技术的跨学科实践课程,注重培养学生的动手操作能力、创新思维和团队协作能力。

学生特点:学生处于初中阶段,对新鲜事物充满好奇,具备一定的音乐基础和信息技术能力,但需要进一步引导和激发。

教学要求:教师应关注学生的个体差异,提供有针对性的指导,鼓励学生积极参与,注重培养学生的实践能力和创新精神。

通过课程学习,使学生在知识、技能和情感态度价值观方面均取得具体的学习成果。

二、教学内容1. 音乐理论知识回顾:音高、音长、音色基本概念,五线谱基础知识。

2. 简易音符发生器介绍:工作原理、功能特点、操作界面。

- 教材章节:《音乐与信息技术》第三章第三节“音乐软件的应用”。

3. 音乐软件操作实践:- 安装与启动简易音符发生器。

- 学习使用简易音符发生器的基本功能,如音符输入、音色选择、节奏设置。

- 教材章节:《音乐与信息技术》第三章第四节“简易音符发生器的使用”。

4. 旋律创作实践:- 运用简易音符发生器,创作一首简单旋律。

- 学习将创作成果输出为乐谱。

- 教材章节:《音乐与信息技术》第三章第五节“音乐创作实践”。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

音乐发生器课程设计1.软件硬件1 EP3C40Q240C8芯片2 实验箱底板电路(包括蜂鸣器、7段数码管、Led灯1个、拨码开关3个、Key按键7个)3 QuartusⅡ9.04 计算2. 总体模块功能1使用两个时钟分别为12MHz和8Hz的时钟,分别供分频驱动器(数控分频器)与计数器使用。

2计数器完成计数功能,分为两个计数器counter1和counter2,counter1计数到269,counter2计数到163,都是在上升沿来临时计数。

3四个音乐模块分别记录了2首歌的乐谱。

根据上一模块计数器所计的数读取相应地址里的数据传递给下一模块。

4选择器完成选择歌曲的功能。

5数据翻译模块将选择器所选择的歌曲里的地址的数据翻译成分频驱动器(数控分频器)分频所需的控制数据、1个led灯数据(中低音)、以及译码器所需的数据。

6分频驱动器也就是一个数控分频器,完成分频的功能,并驱动蜂鸣器。

7译码器将乐谱数据在led数码管上显示。

3.各个模块图形及程序3.1 计数器及歌曲存储模块(notetabs)计数器完成计数功能,分为两个计数器,分别计到269和163,因为两首歌曲的最大字符分别为269和163,存储的两首歌是《采蘑菇的小姑娘》、《小糊涂神》,曲谱如下:1模块图形模块如图3-3所示:2 程序由于此程序较多,在附录中给出,见附录3 仿真波形图仿真图如图3-4所示:通过仿真图可以清楚的看到,每计一次数输出toneindex的值随之发生一定的变化,只不过根据乐谱的不同,输出的变化也不尽相同。

例如在本次设计中,自第8个时钟上升沿来临到第15个时钟上升沿,输出toneindex2均选取音符“5”。

然后把输出toneindex2输入到音调编码器模块,进行下一步编码工作。

3.2 手动模块(tone)可通过7个按键手动的进行演奏,7个按键分别代表7个音。

1模块图形模块如图3-5所示:rstCLKdtoneindex2[3..0]notetabsinstVCCpin_name INPUTVCCpin_name1INPUTVCCpin_name2INPUTpin_name3OUTPUT图3-3key[6..0]toneindex1[3..0]toneVCCpin_name INPUT pin_name4OUTPUT图3-44.程序library ieee;use ieee.std_logic_1164.all;entity tone isport(key:in std_logic_vector(6 downto 0); toneindex1:out std_logic_vector(3 downto 0)); end;architecture one of tone isbeginsearch:process(key)begincase key iswhen"0000001"=>toneindex1<="0001"; when"0000010"=>toneindex1<="0010"; when"0000100"=>toneindex1<="0011"; when"0001000"=>toneindex1<="0100"; when"0010000"=>toneindex1<="0101"; when"0100000"=>toneindex1<="0110"; when"1000000"=>toneindex1<="0111";when others=>toneindex1<="0000";end case;end process;end;3 仿真波形图仿真图如图3-6所示:在此仿真图中不同的key 相当于对应不同的琴键,当按下不同的琴键时输出相对应的toneindex1,toneindex1在输入音调编码器中进行下一步编码。

3.3.3 手动、自动选择模块(mux )根据设计的要求,该简易乐曲演奏器能实现手动或自动演奏乐曲的功能。

于是,可通过一个按键cs 来进行自动与手动的选择,当cs 按下时,乐曲自动演奏,其他情况下均为手动演奏乐曲,即可以通过按下其他的按键(与cs 相连的按键除外)来控制不同的音符。

与此同时,还需要一个复位信号rst 来控制该演奏器是否工作,当rst 为1时,停止演奏,为0时,可以演奏。

以上提到的手动与自动的选择只能在rst 为0时有效。

1 模块图形模块图形如图3-7所示:2 程序library ieee; use ieee.std_logic_1164.all;entity mux isport(rst:in std_logic;index1:in std_logic_vector(3 downto 0);index2:in std_logic_vector(3 downto 0);cs :in std_logic;toneindex:out std_logic_vector(3 downto 0));end;architecture arc_mux of mux isbeginVCC pin_name INPUT VCC pin_name1INPUT pin_name2OUTPUT rst index1[3..0]index2[3..0]cs toneindex[3..0]mux instVCC pin_name3INPUT VCC pin_name4INPUT 图3-7 muxprocess(cs)beginif rst='1'then toneindex<="0000";elsecase cs iswhen '0'=>toneindex<=index1;when '1'=>toneindex<=index2;when others=>toneindex<=null;end case;end if;end process;end arc_mux;3 仿真波形图仿真波形图如图3-8所示:图3-8 mux此仿真图中输入cs代表手动\自动演奏的选择端,输入rst代表整体复位端,输入index1、index2分别代表手动和自动要演奏的音符,输出q代表经过选择后,要演奏的或是手动输入或是自动输入的音符。

由此仿真图可清楚的看到当rst=1时,不论选择的是手动还是自动,输出都为零,达到了整体复位的功能;当rst=0且cs=1时,自动演奏乐曲,因为q与index2的值相同;当rst=0且cs=0时,手动演奏乐曲,因为这时的q与index1的值相同,从而也达到了演奏方式选择的功能。

3.3.4 音调编码器(tonetaba)1 模块图形模块图形如图3-9所示:2 程序见附录3 仿真波形图仿真图形如图3-10所示:在此仿真图中从上到下依次代表输出code、high、输入index和输出tone。

通过此仿真图能清楚的看到当音符分别为4、10、8、15时,它们所对应的分频预置数tone分别是1116、1542、1410、1728;所对应的音谱code分别是4、3、1、1。

其中code、high1能分别在数码管和led上显示,而tone则输入到数控分频模块作为分频的依据。

于是,由仿真图印证了音调编码模块传送预置数及显示功能。

3.3.5数控分频器(speakera)将芯片上提供的50MHz的时钟分频为12MHz和8Hz的时钟,分别供计数器与分频驱动器(数控分频器)使用。

1 模块图形:模块图形如图3-11所示:clkTone[10..0]SpkSSpeakeraVCCpin_name INPUTVCCpin_name1INPUTpin_name2OUTPUT图3-10VCCpin_name INPUTpin_name5OUTPUTpin_name6OUTPUTpin_name7OUTPUTIndex[3..0]CODE[6..0]HIGHTone[10..0]ToneTabainst图3-92 程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY Speakera ISPORT ( clk : IN STD_LOGIC;Tone : IN STD_LOGIC_VECTOR (10 DOWNTO 0);SpkS : OUT STD_LOGIC );END;ARCHITECTURE one OF Speakera ISSIGNAL PreCLK, FullSpkS : STD_LOGIC;BEGINDivideCLK : PROCESS(clk)VARIABLE Count4 : STD_LOGIC_VECTOR (3 DOWNTO 0) ;BEGINPreCLK <= '0';IF Count4>11 THEN PreCLK <= '1'; Count4 := "0000";ELSIF clk'EVENT AND clk = '1' THEN Count4 := Count4 + 1; END IF;END PROCESS;GenSpkS : PROCESS(PreCLK, Tone)VARIABLE Count11 : STD_LOGIC_VECTOR (10 DOWNTO 0);BEGINIF PreCLK'EVENT AND PreCLK = '1' THENIF Count11 = 16#7FF# THEN Count11 := Tone ; FullSpkS <= '1';ELSE Count11 := Count11 + 1; FullSpkS <= '0'; END IF;END IF;END PROCESS;DelaySpkS : PROCESS(FullSpkS)VARIABLE Count2 : STD_LOGIC;BEGINIF FullSpkS'EVENT AND FullSpkS = '1' THEN Count2 := NOT Count2;IF Count2 = '1' THEN SpkS <= '1';ELSE SpkS <= '0'; END IF;END IF;END PROCESS;END;3 仿真波形图仿真图如图3-12所示:图3-12在此仿真图中,输入clk是一个频率较大的时钟信号,输入tone代表着某个音符的分频预置数,输出spks则代表将输入clk先经过12次分频,再经过(预置数终值2048-tone)次分频,最终在进行二分频处理后的信号,而这个信号的频率就是我们需要演奏的音谱的频率,根据频率的不同,从而能通过喇叭听到不同的声音,这就是我们一直想要演奏的乐曲了。

相关文档
最新文档