整点报时电路

合集下载

整点报时电路

整点报时电路

整点报时电路
有不少场合,如车站候车室、浴室、写字楼、医院等公共场合,一般设置的数字钟都无声光报时功能,这给旅客、顾客或病员等会带来不便。

本整点报时电路在整点时能准确地进行声、光报时,能奏出一首世界名曲,且每次整点按序换一首乐曲。

整点报时电路包括数字钟定时控制电路、单稳态定时电路和名曲演奏电路等,电路如图所示。

定时控制电路是以LCD数字钟芯片KS5195(或KS5194)为核心组成的,它外接专用石英晶体32768Hz,可变电容器C0用以微调其准确的时间基准。

从AL钟控端引出整点的定时信号,每到整点时刻便输出一高电平信号(Vp-p=1.3v,t=50ms)。

IC2采用时基电路555,它与R3、C3等组成一个单稳态定时电路。

在整点时刻,钟控定时脉冲使VT1饱和导通,555的2脚呈低电位,将555翻转置位,3脚转呈高电位。

高电位保持的时间,即单稳态的暂稳时间为td=1.1R3C3,根据后级KD-4821演奏一首乐曲的时间,暂稳时间设计为52秒。

调节充电时间常数RC,可改变其演奏时间。

在IC2的输出转呈高电位后,发光二极管LED发光,同时,IC3得电并触发,迅即奏放乐曲一首。

IC3采用16首乐曲集成电路KD-482I,它内存有16首世界名曲。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计1设计内容简介数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。

脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。

2设计任务与要求Ⅰ以十进制数字形式显示时、分、秒的时间。

Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。

Ⅲ能实现手动快速校时、校分;Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。

Ⅴ具有定制控制(定小时)的闹钟功能。

Ⅵ画出完整的电路原理图3主要集成电路器件计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等4设计方案数字电子钟的原理方框图如图(1)所示。

该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。

秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。

将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。

校时电路是用“时”、“分”、“秒”显示数5电路设计5.1秒信号发生器秒信号发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体整荡器产生的脉冲经过整形、分频获得1 Hz的秒脉冲。

A功能数字钟的电路设计

A功能数字钟的电路设计

功能数字钟的电路设计数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。

钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

1、设计目的1)掌握数字钟的设计、组装与调试方法。

2)熟悉集成电路的使用方法。

2、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2)具有校准时、分的功能。

3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。

选做:1)闹钟功能,可按设定的时间闹时。

2)日历显示功能。

将时间的显示增加“年”、“月”、“日”。

3、数字钟的基本原理及电路设计一个具有计Array时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。

石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

数字钟的整机逻辑框图如下:图 1数字钟整机逻辑图振荡器方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

图 2 555与RC 组成的多谐振荡器图 分析:图中的C2为保护电容,其取值并没有什么要求。

在本设计中,我假设输出的脉冲的占空比为2/3,并且把555与RC 组成的多谐振荡,参考书本上的方案得出占空比3222121=++=R R R R q 故得到R1=R2。

又有电路的振荡周期T=T1+T2=(R1+2R2)Cln2得T=(R1+2R2)Cln2=103-S 。

我在实验中取电容为10nf 。

带入式中,可以得出R1=R2=48K Ω。

在这里取两个47K Ω电阻和滑动电阻2K Ω。

仿真结果如图所示,误差还是比较低的。

方案二:石英晶体振荡器。

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。

具有整点报时功能的可校时数字钟

具有整点报时功能的可校时数字钟

第一章数字钟的工作原理第一节介绍20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品跟新换代的节奏也越来越快。

数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。

由于数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。

因此在许多电子设备中被广泛使用。

数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

通过设计加深对刚刚学习了的数字电子技术的认识。

本次设计以数字电子为主,分别对一秒信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合来完成时、分、秒的显示并且具有整点报时和走时校时的功能。

并通过本场设计加深对数字电子技术的理解以及更熟练是有计数器、触发起和各种逻辑门电路的能力。

电路主要使用集成计数器,例如74LS160、CD4518、译码集成电路,例如CD4511、LED数码管及各种门电路和基本的触发器等,电路使用5号电池供电,很合适在日常生活中使用。

第二节设计方案论证方案一:采用小规模集成电路实现采用集成逻辑电路设计具有能实现,时分秒计时功能和多点定时功能,计时数据的更新每秒自动进行一次,不需程序干预。

方案二:EDA技术实现采用EDA作为主控制外围电路进行电压,时钟控制键盘和LED控制,此方案逻辑电路复杂,且灵活性较低,不利于各种功能的扩展,在对电路进行检测比较困难。

方案三:单片机编程实现在按键较少的情况下,采用独立式4个按键,经软件设计指定的I/O 口,送出逻辑电平,控制数码管显示,根据数字电子钟的设计要求与原理以及特性,本系统采用单片机AT89C52串口输出的形式来设计电路,使功能及效果更完美。

EDA课程设计整点报时电路

EDA课程设计整点报时电路

EDA技术课程设计课题:整点报时电路系别:电气与电子工程系专业:电子信息工程姓名:学号:指导教师:陈英梁成武赵红梅河南城建学院2012年6月21 日成绩评定·一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

二、课程设计评分成绩:2012年 6月日目录一、设计目的 (4)二、设计要求 (4)三、总体设计方案要求 (4)1、设计的总体原理 (4)2、设计内容 (4)四、EDA设计与仿真 (4)1、程序 (4)2、仿真与波形图 (7)五、硬件实现 (10)1、硬件实现步骤 (10)2、硬件实现结果 (11)六、设计总结 (15)七、参考文献 (15)八、设计生成的电路总图 (16)一、设计目的这次课程设计主要是培养我们的实际动手能力,加深我们对EDA这门课程的理解,增强我们对EDA程序设计流程的掌握。

这个课题还要求我们掌握计数器的设计,六十进制计数器和二十四进制计数器的设计方法,以及各个进制之间的连接关系。

同时学习了复位功能实现的方法。

二、设计要求1、具有时、分、秒,计数显示功能,以二十四时制循环计;2、设置复位开关,以满足重新运行功能;3、要求计时精度为0.01秒,最长时间为24H;4、每当时钟运行到整点时,要求电路能推动扬声器发出与整点数目相等的音响声。

例如:时钟到达10点,扬声器响10下三、总体设计方案要求1.设计的总体原理要实现一个整点报时系统,整个系统有数字钟,整点报时系统和复位系统组成。

数字钟正常运行,每当分为零时将时的数值赋予蜂鸣器响应系统,就实现了整点报时,且扬声器发出与整点数目相等的音响声。

四、EDA设计及仿真library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity zdbs isport(clk,rst:in std_logic;clk_1024:in std_logic;spk:out std_logic;h1,h2,s1,s2:out std_logic_vector(3 downto 0);m1,m2:buffer std_logic_vector(3 downto 0));end zdbs;architecture behav of zdbs issignal h,s:integer range 0 to 69;signal aleart:std_logic;beginprocess(clk)variable temp1:std_logic_vector(3 downto 0):="0001";variable temp2:std_logic_vector(3 downto 0):="0101";variable temp3:std_logic_vector(3 downto 0):="0101";variable temp4:std_logic_vector(3 downto 0):="1001";variable temp5:std_logic_vector(3 downto 0):="0101";variable temp6:std_logic_vector(3 downto 0):="0000";beginif rst='1' thentemp1:="0001";temp2:="0101";temp3:="0101";temp4:="1001";temp5:="0101";temp6:="0000";elseif(clk'event and clk='1')thentemp6:=temp6+1;if(temp6=10)thentemp6:=(others =>'0');temp5:=temp5+1;if (temp5=6)thentemp5:=(others =>'0');temp4:=temp4+1;if(temp4=10)thentemp4:=(others =>'0');temp3:=temp3+1;if (temp3=6)thentemp3:=(others =>'0');temp2:=temp2+1;if(temp2=10)thentemp2:=(others =>'0');temp1:=temp1+1;end if;if((temp1=2)and(temp2=4))thentemp1:=(others =>'0');temp2:=(others =>'0');end if;end if;end if;end if;end if;end if;end if;h<=10*conv_integer(temp1)+conv_integer(temp2);s<=10*conv_integer(temp5)+conv_integer(temp6);h1<=temp1;h2<=temp2;m1<=temp3;m2<=temp4;s1<=temp5;s2<=temp6;end process;process(m1,m2,h,s)beginif((m1=0)and(m2=0)and(s<h))thenaleart<='1';elsealeart<='0';end if;end process;process(aleart,clk,clk_1024)beginif(aleart='1')thenspk<=clk and clk_1024;elsespk<='Z';end if;end process;end behav;图4-1 秒计数器框图(2)时序仿真及仿真波形图如下:图4-1 源程序编译仿真图图4-2时序仿真图由程序及仿真波形图可以看出该时钟系统是由两个60进制计数器和一个24进制计数器组成,分和秒是60进制,时是24进制。

EDA整点报时课程设计

EDA整点报时课程设计

EDA整点报时课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握整点报时的原理。

2. 学生能运用所学知识,设计并实现一个简单的整点报时电路。

3. 学生了解时钟信号的基本特性,理解晶振在时钟电路中的作用。

技能目标:1. 学生通过本课程的学习,具备使用EDA软件进行电路设计和仿真的能力。

2. 学生能够运用电子元件搭建整点报时电路,并解决实际操作过程中遇到的问题。

3. 学生能够进行简单的电路调试和优化,提高电路的稳定性和准确性。

情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发他们探索未知、勇于创新的科学精神。

2. 学生通过团队协作完成课程任务,培养合作意识,提高沟通能力。

3. 学生在课程实践中,体验到学以致用的成就感,增强自信心。

课程性质:本课程为实践性较强的课程,结合理论教学和实际操作,旨在培养学生运用EDA技术解决实际问题的能力。

学生特点:学生具备一定的电子基础知识,对新鲜事物充满好奇心,喜欢动手实践。

教学要求:教师需注重理论与实践相结合,引导学生主动参与,关注学生的个体差异,提供有针对性的指导。

通过课程学习,使学生在知识、技能和情感态度价值观方面均有所提升。

二、教学内容本课程以《电子技术》教材中关于EDA技术和时钟电路的相关章节为基础,结合以下教学内容:1. EDA技术简介:介绍EDA技术的发展、基本概念及其在电子设计中的应用。

2. 时钟信号原理:讲解时钟信号的基本特性、晶振的工作原理及其在时钟电路中的作用。

3. 整点报时电路设计:分析整点报时电路的原理,介绍常见电路元件的选用和连接方法。

4. EDA软件操作:教授EDA软件的基本操作,包括原理图绘制、电路仿真和PCB布线等。

5. 电路搭建与调试:指导学生使用电子元件搭建整点报时电路,并进行调试和优化。

教学内容安排和进度如下:1. 第1课时:介绍EDA技术及其在电子设计中的应用。

2. 第2课时:学习时钟信号原理,了解晶振的作用。

数字电子时钟课程设计报告-1

数字电子时钟课程设计报告-1

目录一、概述 (1)数字钟简介设计目的设计要求二、主要实验器材 (2)三、设计原理及方框图 (3)四、各部分的电路及实现 (5)振荡器电路计数器的设计六十进制电路整点报时电路校时电路五、总体电路图设计 (10)六、安装与调试 (12)七、收获与体会 (12)一、概述1.1数字钟简介20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。

由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。

多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。

具有时间显示、走时准确、显示直观、精度、稳定等优点。

电路装置十分小巧,安装使用也方便。

同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱设计目的(1).让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2). 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3). 提高电路布局﹑布线及检查和排除故障的能力;(4).培养书写综合实验报告的能力设计要求(1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟。

整点报时电路设计原理

整点报时电路设计原理

整点报时电路设计原理《整点报时电路设计原理》你有没有那种特别想要了解一些神奇小电路的时候呢?就像整点报时电路,感觉超级酷。

那今天呀,咱们就来好好唠唠这个整点报时电路的设计原理。

首先呢,咱们得有个时钟信号源。

这就好比是整个电路的心跳,一下一下特别有规律。

这个时钟信号就像我们生活中的时钟滴答滴答地走,它会按照固定的频率发出信号,比如说每秒或者每毫秒来那么一下。

这个频率就决定了整个电路计算时间的基本节奏。

那有了这个心跳之后呢,我们需要一个计数器。

这个计数器就像是一个很有耐心的小会计,一笔一笔地记录着时钟信号的跳动次数。

比如说,它可以从0开始数,每来一个时钟信号就加1。

这就像是我们数秒一样,滴答一下就多一秒。

不过呢,光这么一直傻数也不行呀,我们得知道什么时候是整点。

这时候就需要一个比较器出场啦。

比较器就像是一个很严格的裁判,它知道每个整点对应的计数是多少。

比如说,一个小时有3600秒,如果我们的计数器是按照每秒加1的速度在计数,那么当计数器数到3600的时候,就到整点啦。

比较器就会去查看计数器的值,当这个值和它心里设定的整点对应的数值相等的时候,就好比裁判吹响了哨子,说:“嘿,到点啦!”到这一步还没完呢,虽然裁判知道到点了,但是得让我们听到呀,这就需要一个发声装置啦。

这个发声装置就像是一个小喇叭,当比较器判定到整点之后,就会给发声装置一个信号,然后小喇叭就会“嘟”或者“叮咚”地响起来,告诉我们现在是整点啦。

这里面还有一些小细节呢。

比如说,为了让这个电路更精确,我们的时钟信号源得非常稳定,就像一个特别守时的人,从不会迟到或者早退。

而且计数器也要足够大,能够记录很长时间的时钟信号跳动,不然数着数着就乱套了,就像你数东西数到一半突然忘记数到哪儿了一样。

再来说说这个比较器的设定吧。

如果我们想要12小时制的整点报时,那比较器就需要设定12个不同的整点数值,像1点对应的3600秒,2点对应的7200秒等等。

要是24小时制呢,那就得设定24个不同的值啦。

数字时钟兼钟控电路

数字时钟兼钟控电路

1 引言现代科技的不断发展,电子产品越来越向集成化和多功能方面发展。

人们对电子产品的要求也越来越高。

不论是学生还是工作者都离不开电子产品。

电子时钟在人们的生活中应用很广泛,由于其使用方便、价格低廉、性能稳定,非常受人们的欢迎.2 原理框图图2.1原理框图数字钟电路系统由主电路和扩展电路两大部分组成,其中主体电路完成数字钟的基本功能,扩展电路完成控制电路。

系统工作原理:由振荡器输出稳定的高频脉冲信号作为时间基准,经分频振荡器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒。

并具有可整点报时与定时闹钟的功能。

3 主体电路的设计3.1 振荡器晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

数字显示的电子钟常使用晶体振荡器电路。

如图3.1所示为电子手表集成电路中的晶体振荡器,其内部有15级2分频集成电路所以输出端正好可得到1HZ的标准脉冲。

晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

图3.1晶体振荡器电路3.2 分频器图3.2分频器电路分频器的功能主要有两个:一是产生标准脉冲信号,二是提供功能扩展电路所需要的信号。

选用中规模集成电路计数器74LS90可以完成上述功能。

如图3.2将三片74LS90进行级联因每片为1/15分频器,三片级联正好获得1HZ的标准脉冲。

有表1得,当74LS90接成BCD十进制计数器时,Q1的输出是输入脉冲CP的2分频,所以第一片74LS90的Q1输出脉冲频率为500HZ3.3 时分秒计数器分和秒计数器都是M=60的计数器,采用中规模集成电路十进制计数器至少需要两片,因为10〈M〈100。

他们的个位都是十进制器,而十位则是六进制计数器,其计数规律为00—01—02—…..—58—59—00。

具有整点报时功能的数字钟 电子设计

具有整点报时功能的数字钟 电子设计

目录1 绪论 (1)2 设计主体 (1)2.1 振荡器 (1)2.1.1 555定时器的电路结构及工作原理 (2)2.1.2 用555定时器构成多谐振荡器 (4)2.2 分频器 (5)2.3 校正电路 (6)2.3.1 校“秒”电路 (7)2.3.2 校“分”电路 (7)2.3.3 校“时”电路 (8)2.4 整点报时电路 (8)2.5 计数器、译码器和显示器 (9)3 心得体会 (10)参考文献 (12)具有整点报时功能的数字钟1 绪论数字钟是集模拟技术与数字技术为一体的一种综合应用。

数字钟与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用,数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。

此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟,而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实现方法,且由于数字电子钟电路包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

2 设计主体数字钟是用计数器、译码器和显示器等集成电路实现“时”、“分”、“秒”按照数字方式显示的计数装置,主要由振荡器、分频器、校正电路、计数器、译码器和显示器六部分组成,如框图2-1所示。

图2-1 数字钟框图2.1 振荡器振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路,也可以选择555定时器。

我在这里选择的是555定时器。

555定时器是一种应用极为广泛的中规模集成电路,因集成电路内部含有3个5KΩ电阻而得名。

该电路使用灵活、方便,只需接少量的阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器,且价格便宜。

555定时器广泛应用于信号的产生、变换、控制与检测。

目前生产的555定时器有双极型和CMOS两种类型,主要厂商生产的产品有NE555、FX555、LM555和C7555等,它们的结构和工作原理大同小异,引出线也基本相同,有的还有双电路封装,称为556。

整点报时数字钟设计

整点报时数字钟设计

实用标准电子电路课程设计报告题目:整点报时数字钟设计姓名:年级专业:学号:完成时间:目录一、设计任务与要求 (3)1设计任务 (3)2设计要求 (3)二、总体概要设计 (4)三、单元模块电路设计分析 (4)1时钟驱动脉冲产生模块 (4)2时间技术模块 (5)3校时模块 (6)4整点报时 (7)5显示选择模块 (9)6比较模块 (10)四、组装调试 (11)1使用的主要仪器及仪表 (11)2调试电路的方法和技巧 (11)3调试中出现的故障,原因及排除方法 (12)五、元器件清单 (12)六、设计总结及改进期望 (13)七、收获和体会 (13)八、参考文献 (14)一、设计任务与要求1设计任务数字钟一种用数字显示分,秒,时的即使装置,与传统的机械钟相比,它具有走势准确,显示直观,无需机械传动等有点。

因而得到了广泛的应用。

本次课程设计要求以中规模集成电路为主,利用所学知识,设计一个数字钟。

通过本次课程设计,进一步加强数字短路综合应用能力,掌握数字电路的设计技巧,增强实践能力,以及熟练掌握数字钟的系统设计,组装,调试及故障排除的方法。

2设计要求数字钟采用数码管显示。

显示范围0时0分00秒——23时59分59秒。

有校时功能,可以分别对时及单独校时,使其校正到标准时间;电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点,并且要求走时准确。

画出电路原理图。

选择元器件及参数,列出有相关元器件的清单。

自行装配和调试,并能发现问题和解决问题。

编写设计报告,写出设计与制作的全过程附上有关资料和图纸,心得体会。

二、总体概要设计三、单元模块电路设计分析1时钟驱动脉冲产生模块时钟驱动脉冲产生模块是构成数字式时钟的核心,它产生一个矩形波时间基准源信号,其稳定性和频率精确度决定了计时的准确度,振荡频率越高,计时精度也就越高。

分频器采用计数器实现,以得到1s的标准秒脉冲。

通常,数字钟的晶体振荡器输出频率较高,为了得到1HZ的秒信号输入,需要对振荡器的输出信号进行分频。

数字电子钟的设计电路图pcb图

数字电子钟的设计电路图pcb图

数字电子钟的设计与制作一、设计概述1.设计任务➢时钟脉冲电路设计➢60进制计数器设计➢24进制计数器设计➢“秒”,“分”,“小时”脉冲逻辑电路设计➢“秒”,“分”,“小时”显示电路设计➢“分”,“小时”校时电路➢整点报时电路2.功能特性➢设计的数字钟能直接显示“时”,“分”,“秒”,并以24小时为一计时周期。

➢当电路发生走时误差时,要求电路具有校时功能。

➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。

3.原理框图图 1 原理框图二、设计原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。

校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

三、设计步骤1.计数器电路根据计数周期分别组成两个60进制(秒、分)和一个24进制(时)的计数器。

把它们适当连接就可以构成秒、分、时的计数,实现计时功能。

CC4518的符号如图,一个芯片集成了两个完全相同的十进制计数器,其异步清零信号CR是高电平有效。

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学第一篇:数电课程设计报告-数字电子钟东北大学课程设计报告设计题目:数字电子钟设计与实现班级:学号:姓名:指导教师:设计时间:摘要数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。

由于数字集成电路技术的发展采用了先进的三石英技术,使数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

尽管目前市场上已有现成的数字时钟电路芯片出售,价格便宜、使用也方便,但鉴于数字时钟电路的基本组成包含了数字电路的组成部分,因此进行数定时钟的设计是必要的。

在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来增养我们的综合分析和设计电路的能力。

本次设计以数字时钟为主,实现对时、分、秒数字显示的计数器计时装置,周期为24小时,显示满为23时59分59秒并具4有校时功能的数电子时钟。

电路主要采用中规模的集成电路,本电路主要脉冲产生模块、校时模块、两个六十进制模块(分、秒)、一个二十四进制模块(时)和一个报时逻辑电路组成。

时、分、秒再通过BCD-7段译码显示屏显示出来。

关键词:计数器译码器校时目录概述2 课程设计任务及要求2.1 设计任务2.2 设计要求3 理论设计3.1方案论证3.2 系统设计3.2.1 结构框图及说明3.2.2 系统原理图及工作原理3.3 单元电路设计3.3.1秒脉冲电路设计3.3.2时、分、秒计数器电路3.3.3校时电路3.3.4译码显示电路3.3.5定时电路设计4.软件仿真4.1 仿真电路图4.2 仿真过程4.2 仿真结果5.结论6.使用仪器设备清单7.参考文献。

8.收获、体会和建议。

5 5 8 10 11 13 15 16181919202.课程设计及要求2.1设计任务数字电子时钟是一种用数字电路技术实现“时”、“分”、“秒”计时的装置。

整点报时数电课程设计

整点报时数电课程设计

整点报时数电课程设计一、教学目标本课程旨在让学生掌握整点报时数电的基本原理和应用,培养学生的实际操作能力和创新意识。

具体目标如下:1.知识目标:学生能理解并掌握整点报时数电的基本概念、原理和特点;了解其在我国电力系统中的应用和发展趋势。

2.技能目标:学生能熟练使用相关仪器设备进行整点报时数电的实验操作;具备分析、解决实际问题的能力。

3.情感态度价值观目标:学生培养对整点报时数电技术的兴趣,认识其在我国电力系统中的重要作用,增强民族自豪感和责任感。

二、教学内容本课程的教学内容主要包括以下几个部分:1.整点报时数电基本原理:介绍整点报时数电的定义、分类、工作原理及其在电力系统中的应用。

2.整点报时数电设备及系统:介绍整点报时数电设备的基本构成、功能、性能指标及典型应用案例。

3.整点报时数电实验操作:讲解实验设备的使用方法,演示实验过程,分析实验结果。

4.整点报时数电技术发展及其在我国电力系统中的应用:介绍整点报时数电技术的发展历程、现状和未来趋势,分析其在电力系统中的重要意义。

三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行:1.讲授法:通过讲解整点报时数电的基本原理、设备构成和应用案例,使学生掌握相关知识。

2.讨论法:学生就整点报时数电技术的发展趋势、实际应用等问题进行讨论,培养学生的思考和表达能力。

3.案例分析法:通过分析典型应用案例,使学生了解整点报时数电技术在电力系统中的具体应用。

4.实验法:让学生亲自动手进行实验操作,培养学生的实际操作能力和解决问题的能力。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:选用国内权威出版的整点报时数电教材,为学生提供系统的理论知识。

2.参考书:提供相关领域的参考书籍,拓展学生的知识视野。

3.多媒体资料:制作课件、视频等多媒体资料,丰富教学手段,提高学生的学习兴趣。

4.实验设备:配置完善的实验设备,确保学生能够进行实际操作,提高实践能力。

杭电短学期数字电子钟整点报时系统实验报告

杭电短学期数字电子钟整点报时系统实验报告

杭电数字电子钟整点报时系统实验报告链接: http://pan.杭电baidu.杭电com杭电/s杭电/1nuxwOdz 密杭电码: k4yy 1.自主设计:1.1选题目的数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机械钟相比具有更高的准确性和直观性,且具有无机械传动装置等特点,因此得到了广泛的使用。

数字电子钟从原理上看是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

设计与制做数字电子钟可以使我们了解数字电子钟的原理,并且学会制作数字电子钟。

而且通过数字电子钟的制作进一步地了解各种在制作中用到的中小规模集成电路的作用及使用方法.且由于数字电子钟包括组合逻辑电路和时序电路.通过此次课程设计可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

(为方便测试,实际电路只做分秒单元,电路改为分钟报时)1.2项目构思1.2.1设计任务和基本要求用中、小规模集成电路设计一台能显示分、秒的数字电子钟,基本要求如下:·各用2位数码管显示累计时间“分”、“秒”;·具有校时功能,可以分别对分及秒进行单独校时,使其校正到标准时间;·具有整点报时功能。

要求整点前鸣叫五次低音(500Hz左右),整点时再鸣叫一次高音(1000Hz左右),共鸣叫6响,两次鸣叫间隔0.5S。

1.2.2设计方案(系统简介)整个设计主要分为六个模块:分模块、秒模块、分频模块、校时模块、整点报时模块、译码显示模块。

分、秒模块分别用两块CD4029实现,并且分别将它们都设置为60进制。

秒信号的产生,用石英晶体振荡器产生32768Hz的脉冲,经过整形、分频产生1Hz的秒脉冲。

分频用CD4060分出2Hz的脉冲,再用CD4013分出1Hz 的脉冲。

将秒信号送入秒模块,每累计60秒发出一个分脉冲信号,分模块实现60分钟的累计,通过用74LS47实现的译码显示电路将时间在四个七段LED显示器中显示出来。

LED数字钟整点报时电路图

LED数字钟整点报时电路图

LED数字钟整点报时电路图本设计是一个显示时间的系统,所以三个计数器分别为60、60、12进制。

用拨码开关不同的组合分别控制调时、调分、正常计时三种不同的状态。

在调时、调分的过程中计数器间的CP脉冲被屏蔽掉,由单步脉冲代替CP输入;相反正常计时的时候,单步脉冲被屏蔽掉。

报时电路中,用减法计数器就可以实现报时的功能。

数字电子钟的设计一、绪论(一)引言20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。

忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。

但是,一旦重要事情,一时的耽误可能酿成大祸。

例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。

尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。

注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。

手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。

所以,要制作一个定时系统。

随时提醒这些容易忘记时间的人。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

(二)论文的研究内容和结构安排本系统采用石英晶体振荡器、分频器、计数器、显示器和校时电路组成。

由LED 数码管来显示译码器所输出的信号。

采用了74LS系列中小规模集成芯片。

使用了RS触发器的校时电路。

总体方案设计由主体电路和扩展电路两大部分组成。

其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。

数字钟电路设计(闪烁整点报时)(数电)

数字钟电路设计(闪烁整点报时)(数电)

数字钟电路设计电气工程及其自动化苏盛指导老师曾繁政【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。

因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。

【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

振荡器是数字时钟的核心,选用555定时器构成振荡器电路。

以计数器74LS90来实现时间计数单元的计数功能。

显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。

用门电路实现校时及整点报时电路。

时间以24小时为一周期。

【关键词】数字时钟,振荡器,校时,整点报时一、方案设计与论证论文采取理论分析和实践研究相结合的研究方案。

在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。

此方案已在毕业设计制作过程中得到论证。

(一)、设计目的数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。

因此得到了广泛的使用。

数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

通过设计加深对刚刚学习了的数字电子技术的认识。

我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。

而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。

整点报时电路

整点报时电路

0
00 0 1
70
1 010 0 010 1 011 0 011 1
(二)电路的工作原理
由表可知:个位计数器由4位二进制同步可逆计数器 74LS191构成,十
位计数器由
双D触发器74LS74构成 ,将它们组成 “12翻1”小时计数器。
由表可知:计数器的状态要发生 两次跳跃:一是:计数器计到9,
即个位计数器的状态为 =1001后,在下一计数脉冲的作用下计数器进入
所示。 十位
表3“12翻1”小时计时时序
个位
十位
个位
CK Q10 Q03 Q02 Q01 Q00
CK Q10
Q03 Q02 Q01 Q00
00
000
8
0
10 0 0
10
0
9
0
10 0 1
20
000
0
10 1 0
30
1
10
1
00 0 0
40
001
11
1
00 0 1
50
0
12
1
00 1 0
60
001
13
L
X
保持 全为L
表 振荡器和分频器两部 分构成振荡电路,它 的电路图如图7所
示。
根据图7可知电路的工作原理是:石英晶体振荡器提供的频率为
1MHz,CD4518组成十分频电路。并且一个 CD4518可以组成两个十分频
电路即:CD4518的引脚2与引脚6组成一个十分频电路而引脚10与引脚14
组成另一个十分频电路。晶振的输出接入第一块CD4518的输入引脚2,
3.2单元电路的设计
电路主要由振荡电路、计数电路、显示电路、校时电路以及整点报 时电路五大部分组成。下面将对各部分电路进行设计。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
D 2 Q 5 Q 6 CLK 3 4 1 PRE CLR A
74LS74 图12
下面将介绍一些有关触发器的内容:
触发器,它是由门电路构成的逻辑电路,它的输出具有两个稳定的
物理状态(高电平和低电平),所以它能记忆一位二进制代码。触发器
是存放在二进制信息的最基本的单元。按其功能可为基本RS触发器触、
11 74LS191 4 5 6 U9B 74LS00 1 2 3 U9A 74LS00 11 12 13 U10D 74LS00 GND R1 3.3K +5V 8 9 U8D 74LS04 +5v CP
图11
“12翻1”小时 计数器是按照“01—02—03—04—05—06—07—08
—09—10—11—12—01”规律计数的,计数器的计数状态转换表如表3
图2 在上述电路中,RI-C网络由第一个反相器驱动,具有RC特性曲线的 响应信号被反馈给反相器的输入。当电容上的电压达到施密特触发器输 入反相器的门限电压的时候,反相器的状态发生改变,并输出一个新的 电压值。这个输出电压经过一定的延迟时间再次通过RI—C反馈回来, 直到电容电压再次达到门限电压为止。 用施密特触发器输入器件(如74HC04),但是由于电容的参考电压 在每个临界点都要发生变化,所以施密特触发器不是必需的。由于电容 与输出相连,每次状态改变时,电容的充电电压会超过5V。从这一点来 说,输出电压会改变电容的充电电压,直到电容两端的电压变为74HC04
3.2单元电路的设计
电路主要由振荡电路、计数电路、显示电路、校时电路以及整点报 时电路五大部分组成。下面将对各部分电路进行设计。
3.2.1 振荡电路
振荡电路由振荡器和分频器产生 1Hz时钟脉冲和扩展部分所需的频 率,下面对振荡器和分频器两部分进行介绍。 (1)振荡器
数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振 荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说, 振荡器的频率越高,计时精度越高。它利用某种反馈方式产生时钟信 号。对数字电路来说,振荡器的输出的幅度范围为0v—5v的方波信号而 不是锯齿波、三角波或其他形式。典型的振荡器是弛豫振荡器,它通过 一个RC网络将反相器的输出反馈回来并存在一定的工作延迟时间。基本 的电路如图2所示。
暂态1010,利用暂态的两个1即使个位异步置0,同时向十位计数器进位
使 =1;二是计数到12后,在第13个计数脉冲作用下个位计数器的状态
应为 =0001,十位计数器的 =0。第二次跳跃的十位清“0”和个位
置“1”的输出端、、来产生。对电路中所用的主要元件及功能介绍。
1 D触发器74LS74
在电路中用到了D触发器74LS74,74LS74的管脚图如图12。
1
0
0
0
1 内各触发器的时钟信号不是来
2
0
0
1
0 自于同一外接输入时钟信号,
3
0
0
1
1 因而触发器不是同时翻转。这
4
0
1
0
0 种计数器的计数速度慢。一异
5
0
1
0
1 步计数器 74LS92是 二—六—
6
0
1
1
0 十二进制计数器,即CKA和组成
二进制计数器,CKB和在74LS92
7
0
1
1
1
中为六进制计数器。当CKB和相
图中S1校分用的控制开关,S2(总图)为校时用的控制开关,它们的控
制功能如表4所示,校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别
为“0”时可以进行“快校时”。如果校时脉冲由单次脉冲产生器提
振荡器
分频器
主体电路
整点报时
扩展电路
图1
由图1可知,电路的工作原理是:振荡器产生的高脉冲信号作为数字
钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器
个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按 照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误 差时电路进行校时、校分、校秒。扩展电路必须在主体电路正常运行的 情况下才能实现其功能。
频器的电路图如图6。电路经过十分频后将晶振来的1MHz的振荡脉冲变 为1Hz的脉冲信号,该信号作为计数器的计数脉冲使用。
Cr
CP
EN
Cr
CP
输入
输出
输入
输入


清零
图4
图5
输入
图6 输出
CK
CR
EN
上升沿
L
H
加计数
L
L
上升沿
加计数
下降沿
L
X
上表:CD4518的功能
X 上升沿
H X
L
上升沿
L
L
L
下降沿
图13
(二)电路的工作原理
图14
校时电路的作用是:当数字钟接通电源或者出现误差时,校正时
间。校时是数字钟应具有的基本功能。一般电子表都具有时、分、秒等
校时功能。为了使电路简单,在此设计中只进行分和小时的校时。校时
有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数
器对1Hz校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。
经过一次十分频,频率变为100KHz。输出引脚6接入同一块CD4518的引
脚10经第二次分频,频率变为10KHz。输出引脚接人第二块CD4518的输
入引脚2再经一次分频,频率变为1KHz。这样经过六次分频最后可以得 到1Hz的频率。
图7
3.2.2 计数电路
计数器是一种计算输入脉冲的时序逻辑网络,被计数的输入信号就 是时序网络的时钟脉冲,它不仅可以计数而且还可以用来完成其他特定 的逻辑功能,如测量、定时控制、数字运算等等。
的门限电压(2.5V)为止。振荡器输出状态的改变发生在电容上的电压 达到2.5V时。
弛豫振荡器对许多低成本而精度要求又不高的场所非常适合,但是 并不推荐在任何有精度要求的实际应用电路采用它。
如果想要获得高的精度,就应该在振荡电路中使用石英晶体作振 源。在数字钟的设计与制作中应采用石英晶体振荡器,因为石英晶体具 有压电效应,是一个压电器件。当交流电压加在晶体两端,晶体先随电 压变化产生对应的变化,然后机械振动又使晶体表面产生交变电荷。当 晶体几何尺寸和结构一定时,它本生有一个固定的机械频率。当外加交 流电压的频率等于晶体的固有频率时,晶体片的机械振动最大,晶体表 面电荷量最多,外电路的交流电流最强,于是产生振荡,因此将石英晶 体按一定方位切割成片,两边傅以电极,焊上引线,再用金属或玻璃外 壳封装即构成石英晶体。石英晶体的固有频率十分稳定。另外石英晶体 的振动具有多谐性,除了基频振动外,还有奇次谐次泛音振动,对于石 英晶体,既可利用基频振动,也可利用泛音振动。前者称为基频晶体, 后者称为泛音晶体,晶片厚度与振动频率成反比,工作频率越高,要求 晶片厚度越薄。将石英晶体作为高Q值谐振回路元件接入反馈电路中, 就组成了晶体振荡器。在设计中所用的振荡器的电路图如图3所示。该 电路能产生1MHz的方波脉冲振荡信号。
表2,74LS90的管脚图如图9。
R0(1) 2 R0(2) 3 R9(1) 6 R9(2) 7 CKA 14 QA 12 CKB 1 QB 9 QC 8 QD 11 74LS90
图9
表1 BCD码十进制计数时序
表2 二—五混合进制计数
时序
CK
② 异步计数器74LS92
0
0
0
0
0
所谓异步计数器是指计数器
数字钟的计数电路是用两个六十进制计数电路和“12翻1”计数电 路实现的。数字钟的计数电路的设计可以用反馈清零法。当计数器正常 计数时,反馈门不起作用,只有当进位脉冲到来时,反馈信号将计数电 路清零,实现相应模的循环计数。以六十进制为例,当计数器从00, 01,02,……,59计数时,反馈门不起作用,只有当第60个秒脉冲到来 时,反馈信号随即将计数电路清零,实现模为60的循环计数。
0
00 0 1
70
1 010 0 010 1 011 0 011 1
(二)电路的工作原理
由表可知:个位计数器由4位二进制同步可逆计数器 74LS191构成,十
位计数器由
双D触发器74LS74构成 ,将它们组成 “12翻1”小时计数器。
由表可知:计数器的状态要发生 两次跳跃:一是:计数器计到9,
即个位计数器的状态为 =1001后,在下一计数脉冲的作用下计数器进入
8
1
0
0
0 连,时钟脉冲从CKA输入,
9
1
0
0
1
74LS92构成十六进制计数器。74LS92的 CK
管脚图如图10。
00000
R0(1) 6 R0(2) 7 CKA 14 QA 12 CKB 1 QB 11 QC 9
10001
20010
30011
40100
51000
61001
71010
81011
9
1
图3 (2)分频器
分频器的作用是将由石英晶体产生的高频信号分频成基时钟脉冲信 号和扩展部分所需的频率。在此电路中,分频器的功能主要有两个:一 是产生标准脉冲信号;二是功能扩展电路所需的信号,如仿电台用的 1KHz的高频信号和500Hz的低频信号等.在此电路中作为分频器的元件 是:CD4518。
CD4518可以组成二分频电路和十分频电路。用CD4518组成二分频的 电路如图4;用CD4518组成十分频的电路如图5;在本次设计中所用的分
JK触发器、D触发器和T触发器。
这几种触发器都有集成电路产品。其中应用最广泛的当数JK触发器
和D触发器。不过,深刻理解RS触发器对全面掌握触发器的工作方式或
动作特点是至关重要的。事实上,JK触发器和D触发器是RS触发器的改
相关文档
最新文档