清华大学电工技术电子技术课件-1
电工技术电子技术-清华-6-55页文档资料
则:
IAN
UAN Z
IA
U
CN
IB
据此可直接得出另两相电流:
IC
U AN
I A
IBNIB IAN120 ICNIC IAN120
U BN
I OI AI BI C0(中线电流为0)
3. 负载对称,只要求电流、电压大小时,仅算一相
有0效5.11.值2019即可。
C
I C
U AN
1 3
U
l
30
U
P
30
U BN
1 3 U l 150 U P 150
05.11.2019
U CN
13Biblioteka Ul90课件
U
P
90
25
(2) 相电流
A
N IN IA
B
IB
C
IANU RANU RP30
I C
第6讲
第四章
三相交流电路
05.11.2019
课件
海南风1 光
第四章 三相交流电路
§4.1 三相交流电源
4.1.1 三相电动势的产生 4.1.2 三相交流电源的连接
§4.2 三相负载及三相电路的计算
4.2.1 星形接法及计算 4.2.2 三角形接法及计算
§4.3 三相电路的功率
05.11.2019
课件
解:
Up
Ul 38022V 0 33
设 U AN22 00 Z3j45 5.1 3
U U C BN N 2222 001122 00IA44 IAN53U .1ZAAN5225 03.01
电工电子技术PPT课件
开关
开关
电负 池载
+
E-
+ UR
R0 -
13
18.07.2020
1.2 电路的基本物理量
WXH
WXH
一. 电流
电流是由电荷的定向移动而形成的。当金属导 体处于电场之内时,自由电子要受到电场力的作用, 逆着电场的方向作定向移动,这就形成了电流。
其大小和方向均不随时间变化的电流叫恒定电
流,简称直流。 电流的强弱用电流强度来表示, 对
18.07.2020
WXH
《电工电子技术》
WXH
总学时:84学时
第一学期:42学时 第二学期:42学时
其中实验:8学时
• 要求:每周交作业一次, 4 次不交者取消期末考 试资格;无故旷课4次 主讲教师:孟惠霞 者取消期末考试资格。
1
WXH
WXH
整体概述
概况一
点击此处输入相关文本内容 点击此处输入相关文本内容
基础是指基本理论、基本知识和基本技能。
应用是指课程内容要理论联系实际,建立 系统概念,培养大家分析和解决问题的能力; 重视实验技能的训练。
先进性是指电工学课程内容和体系随着电 工技术和电子技术的发展应不断更新。
4
18.07.2020
WXH 二、电工技术和电子技术发展概况 WXH
1785年库仑确定了电荷间的相互作用力, 电荷的概念开始有了定量的意义。
概况二
点击此处输入相关文本内容 点击此处输入相关文本内容
概况三
点击此处输入相关文本内容 点击此处输入相关文本内容
18.07.2020
绪论
WXH
WXH
电工电子学是一门研究电能在技术领域中应用的
电工技术电子技术-清华-36 (2)
+VCC
2VCC / 3 VCC / 3
84 R1
7
R2
555 3
6 TH
2 TR 5
C + uC
1
–
uO uo
C1 周期:
t1
t2
T
Tt1t2(R 1R 2)Cln 2R 2Cln 2
(R 12R 2)Cln 2
精占品课空件比:
q t1 T
16.3.2 555定时器的应用
二、由555定时器构成单稳态触发器
3
UO 5端CV 用
低电平触发输
输出 直接清0 电压控制,不
1
时经
0.01F电容
GND
接地
6端TH 精品课件 输入
高电平触发
16.3.1 555定时器的工作原理(续(1))分压器为比较器提供
三、工作原理
功能VC
C
8
TH CO
6 5
R +–A1
RD 4 RQ
各部分的
基准电压,A1的基准电 压为 2VCC / 3,A2的基准 电压为 VCC / 3 。
精C品T课>件 1000PF
16.2.2集成单稳态触发器74LS123应用举例
例1.用单稳进行彩灯的延时控制
+5V
RT
CT
RT/CT CT
A
Q
B CLR Q
B
Q
精品课件
Q TW
延时时间
彩灯控制
+5V
发光二极管
+5V 9108
时钟
ABCD
CP QA QB QC QD 74 LS194 (1)
CLR R
uA
清华大学电工技术课件79 共136页
R1
直流输入电阻=?
ui
R2 uo 交流输入电阻=?
C
直流输入电阻=?
ui
R uo
交流输入阻抗=?
Zi
R
j1
C
输入电阻的求法:加压求流法
(1)将网络中的独立源去除(恒压源短路,恒 流源开路),受控源保留;
(2)输入端加电压ui,求输入电流ii
(3)输入电阻Ri= ui /ii
例3:用加压求流法求输入电阻
U1 VA 40 U1 1.02 V U ABO
求短路电流:
A
I1 R1
I 2 R2
+
IAB +
U1 –
I 40I1
U2 –
B
I AB
I1 40I1
I2
U1 R1
40 U1 R1
U2 R2
(有源或无源)
uo 输出
输出电阻—从输出端看进去的等效电阻,也就是从 输出端看进去的有源二端网络的戴维南
等效电阻
求含有受控源的二端网络的输出电阻的方法:
法1:从输出端加压求流法(令网络中的恒压源、恒 流源(包括输入信号ui))为0,但保留受控源)
输入端
网络
(有源或无源)
i u 输出端
u Ro i
0
Im
(
1 k
cosk
t
)
0
0 2Im
k
K为偶数 K为奇数
Ckm 2
2
i(t) cosktd (t)
0
2Im
1 k
sin
kt
(2024年)电工电子技术PPT课件
2024/3/26
10
03
电磁感应与变压器原理
2024/3/26
11
电磁感应现象及法拉第电磁感应定律
电磁感应现象
当导体回路在变化的磁场中或导体回 路在恒定磁场中作切割磁力线运动时 ,导体回路中就会产生感应电动势, 从而在回路中产生电流的现象。
法拉第电磁感应定律
感应电动势的大小与穿过回路的磁通 量的变化率成正比。即 e = -nΔΦ/Δt ,其中e为感应电动势,n为线圈匝数 ,ΔΦ/Δt为磁通量的变化率。
01
操作前必须检查电器及 线路是否完好
2024/3/26
02
电器设备必须有可靠的 接地保护
03
04
电器设备运行时,禁止 进行任何维修和保养
34
发现电器设备故障时, 应立即切断电源,并请 专业人员进行维修
接地保护原理和接地系统类型
接地保护原理
将电器设备的金属外壳或构架通过接地装置与大地连接
当电器设备发生漏电或绝缘损坏时,漏电电流通过接地装置流入大地
电工电子技术PPT课件
2024/3/26
1
目 录
2024/3/26
• 电工电子技术概述 • 电路基础知识 • 电磁感应与变压器原理 • 电机与拖动系统 • 电子技术基础 • 数字电路基础 • 电力电子技术基础 • 安全用电与接地保护
2
01
电工电子技术概述
2024/3/26
3
电工电子技术定义与发展
4
电工电子技术应用领域
能源与电力系统
信息与通信系统
制造业与自动化
其他领域
电工技术在能源与电力系统 中的应用包括发电、输电、 配电和用电等各个环节。例 如,水力发电、火力发电、 风力发电等不同类型的发电 技术,以及高压输电、智能 电网等输电和配电技术。
清华大学电工技术与电子技术课件_图文
17.5.1 GAL16V8 GAL I/O module End Title Equations Pin Istype Test_vectors Truth_table When then Else If then else End I/O A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Y 0 0 0 1 0 1 1 1 N 1 1 1 0 1 0 0 0 20 11 VCC I/O7 I/O6 I/O5 I/O4 I/O3 I/O2 I/O1 I/O0 I9/OE GAL16V8 I0/CLK I1 I2 I3 I4 I5 I6 I7 I8 GND 1 10 2-9 …… …… …… …… …… …… (17-62 Y N AB BC CA A B B C AC (17-63 Module majority_voter; A,B,C pin 1,2,3; Y,N, pin 11,12; Equations Y=A&B#B&C#C&A;N=A!&B!#B!&C!#C!&A!; Test_vectors ([A,B,C]>[Y,N] [0,0,0]->[0,1]; [0,0,1]->[0,1]; [0,1,0]->[0,1]; [0,1,1]->[1,0]; [1,0,0]->[0,1]; [1,0,1]->[1,0]; [1,1,0]->[1,0]; [1,1,1]->[1,1];End majority_voters 17.6 VHDL 17.6.1 VHDL VHDL (17-64 (17-65 1. Module < > < > Module < > < > • entity is [generic port [signal] [signal] …… ; end ; architecture begin end “[ ]” (17-66 VHDL work entity is std ;] : : ;] • IEEE VHDL[generic port [signal] : numeric_bit numeric_std [signal] : std_logic_1164 … VHDL … ; end entity ; is library architecture use . . All begin std_logic_1164 of library IEEE of is useIEEE.std_logic_1164.all • EDA end architecture (17-672. In Module < > < > Out Inout entity is [generic port [signal] [signal] …… ; end entity ; architecture begin end arc hitecture of3. • • ;] : : Buffer Linkage std_logic isstd_logic_vectors(m downto n time integer constant: …… (17-68 (17-69 Module < > < > • entity is [generic port [signal] VHDL [signal] …… ; end entity ; architecture begin end architecture of ;] : : is VHDL Module < > < > 17.6.2 VHDL entity is [generic ;] not and or nand nor xor xnor port [signal] : = /= < > <= >= [signal] : & …… ; end entity ; x(3 downto 2 <= architecture begin end architecture of is + * / ** mod rem abs • • • x(1 & x(0; (17-70 (17-71 17.4.1 1. := <= <= after 1 else 2 else n else VHDL <= 1 when 2 when …… n when with select <= 1 when 2 when 2, n when others; 1, …… library IEEE use IEEE.std_logic_1164.all --************************************ entity and2 is generic (rised : time : = 1ns falld : time : =1ns; port (a1 : in std_logic; a2 : in std_logic; f : out std_logic; end and2; --************************************ architecture behavior of and2 is begin f<=a1 and a2 after 5ns; end behavior; (17-72 (17-7317.4.2 VHDL 2. component port ( : …… : end component ; ; ; Library IEEE; use IEEE.std_logic_1164.all; --******************************************** entity majority_voter3 is port (SW : in std_logic_vector(3 downto 1; L: out std_logic_vector(2 downto 1; --*****L1:pass(green LED L2:fail(red LED end majority_voter3; --******************************************** architecture behavior ofmajority_voter3 is begin with SW select L <= "10" when "011", "10" when "101", "10" when "110", "10" when "111", "01" when others; end behavior; (17-74 17.5.3 a b c L1 L2 L3 carry sum (17-75 library IEEE use IEEE_std_logic_1164.all --***************************** --half_adder entity half_adder is port(a,b: instd_logic; s, c0: out std_logic; end half_adder; architecture h_adder of half_adder is signal c,d : std_logic; begin c<=a or b; d<=a nand b; c0<=not d; s<=c and d; end h_adder; --*************************** (17-76 --full_adder entity full_adder is port (x,y,cin : in std_logic; sum, carry : out std_logic; end entity full_adder; architecture struct offull_adder is component half_adder port ( a,b: in std_logic; s, c0 : out std_logic; end component half_adder; signal L1,L2,L3: std_logic; begin P1: half_adder portmap(x,y,L1,L2; P2: half_adder port map(L1,cin,sum,L3; carry<= L2 or L3; end architecture struct; (17-77 3. Process process process ( begin end process; if elsif …… elsif else n+1 end if; n then n If 1 then 1 2 then 2 case case is when 1 => when 2 => …… when others => end case; (17-78 (17-7917.4.4 if case if sel=’1’ then c<=b; else c<=a; end if; 17.4.5 VHDL D CLK Q a MUX b sel c case sel is when 0 => c<=a; when 1=> c<=b; end case; CLK CLK CLK=1 library IEEE; use IEEE.std_logic_1164.all; --****************************** entity ff is port (CLK: in std_logic; D: in std_logic; Q: out std_logic; end ff; --****************************** architechure bhv of ff is singal Q1 : std_logic; begin process(CLK begin if CLK’event and CLK=’1’ then Q1 <=D; end if; end process; Q<=Q1; end bhv; (17-81 (17-80 * for loop [ in :] for loop ; :]; [ while loop :] while :]; loop ; end loop [ end loop [ * wait wait until a=1; --a wait on a,b; -wait a b ‘1’ wait a wait for 10ns -10ns wait until a=’1’ for 10ns; --a a 10ns (17-82。
电工技术电子技术-清华-445页PPT
Z210245
UO
10 10
245 10060 245
复数符号法
19010060
100 25.03.2020 30 uo1课件02s 0i1 n0 (t 0 30 )0 V 22
2I
R2
X
2 L
sin(
t
)
2 I Z sin( t )
2U sin( t ) u领先i 角
U I Z 其中: 25.03.2020
Z
R2
课件
XL2
tg1 XL
R4
(2) R-L串联电路有效值关系
i 2Isint
u 2Usint()
tg1 XL
R
U I Z Z R2 XL2 Z称为复数阻抗的模
当 XL XC时, 0表示 u 、i同相 --电路呈电阻性25. Nhomakorabea3.2020
课件
15
R-L-C串联交流电路——相量图
I
R UR
UL
UL UC
U
L UL
C
UC
UC
相量表达式:
U U RU LU C
U
UR
I
先画出参 考相量
25.03.2020
课件
16
R-L-C串联交流电路中的 复数形式欧姆定律 I
一、 简单串并联电路
i
I
ui
u Z1 o
Z2
Ui Z1 Uo
Z2
UOZ1Z2Z2Ui uo
25.03.2020
课件
21
UO Z1Z2Z2Ui
Ui 100 60 V Z 1 j 20 Z 2 10 j10
1000 rad / s
Z 1 Z 2 1 0 j1 0 12 0 4 5
电工技术电子技术清华2837页PPT
11.3.1 概述
功率放大器的作用: 用作放大电路的输出级,以驱 动执行机构。如使扬声器发声、继电器动作、 仪表 指针偏转等。
例: 扩音系统
01.10.2019
信
电功
号
压率
提
放放
取
大大
电工电子技术
的效率()。
Pomax 100%
PE
01.10.2019
Pomax : 负载上得到的交流信号功率。 PE : 电源提供的直流功率。
电工电子技术
问题讨论:
射极输出器输出电阻低,带负载 能力强,可以用做功率放大器吗
答: 不合适,因为效率太低 。
Ic USC /RE
USC Rb
ib Q
ui
RE
馈);
(6) 共射放大级(T4); (7) 校正环节(C5、R4); (8) UBE倍增电路(T6、R2、R3); (9) 调整输出级工作点元件(Re7、Rc8、Re9、Re10)。
01.10.2019
电工电子技术
实用的OCL准互补功放电路:
Rc1
ui T1
Rb1
反馈级 R1
T2 Rf
Rb2 C1
R1 IB
B1 U
BE
合理选择R1、R2大小,B1、 B2间便可得到 UBE 任意倍数的 电压。
R2 -
B2
01.10.2019
电工电子技术
3. 电路中增加复合管
增加复合管的目的:扩大电流的驱动能力。
c ic
e
b ib T1 T2
b ib T1 T2
电工电子技术 ppt课件
2020/11/24
11
实际电路器件品种繁多,其电磁特性多元而复杂,采取 模型化处理可获得有意义的分析效果
白炽灯电路
消耗电能的电 特性可用电阻 元件表征
由于白炽灯中耗能 的因素大大于产生 磁场的因素,因此
R L 可以忽略。
i
产生磁场的电 特性可用电感 元件表征
白炽灯的电
L 路模型可表
示为:
R
理想电路元件是实际电路器件的理想化和近似,其电特性惟 一、精确,可定量分析和计算。
当外界电场的作用力超过原子核对外层 电子的束缚力时,绝缘体的外层电子同样 也会挣脱原子核的束缚成为自由电子,这 种现象我们称为“绝缘击穿”。绝缘体一 旦被击穿,就会永久丧失其绝缘性能而成 为导体。
半导体的导电性虽然介于导体和绝缘体之间,但半 导体在外界条件发生变化时,其导电能力将大大增强 ;若在纯净的半导体中掺入某些微量杂质后,其导电 能力甚至会增加上万乃至几十万倍,半导体的上述特 殊性,使它在电子技术中得到了极其广泛地应用。
2020/11/24
15
(2)电压
高中物理学中对电压的定义:电场力把单位正电荷从电 场中的一点移到另一点所做的功。表达式为:
u ab
dw ab dq
直流情况下
U ab
W ab Q
注意:物理量用小字表示变量,用大写表示恒量。
从工程应用的角度来讲,电路中的电压是产生电流的根 本原因;在数值上,电压等于电路中两点电位的差值。
2.对于集总参数元件,任何时刻,从元件一端流入的电 流,恒等于从元件另一端流出的电流,并且元件两端的 电压值是完全确定的。
2020/11/24
14
4. 电路中的电压、电流及其参考方向
(1)电流
清华大学电工技术电子技术课件-1
(低 电 位 高 电 位 ) 电位降落的方向
(高 电 位 低 电 位 )
2019/10/30
课件
7
物理量正方向的表示方法
I
a
电 池
灯 泡
+ EU
_
+
R
Uab
_
b
电压
2019/10/30
正负号 箭头 双下标
a + U_ ab b
电流:从高电位 指向低电位。
a
Uab b
I
U1
A IR B R
电流方向 BA?
U2
2019/10/30
课件
10
解决方法
(1) 在解题前先设定一个正方向,作为参考方向;
(2) 根据电路的定律、定理,列出物理量间相互关 系的代数表达式;
(3) 根据计算结果确定实际方向: 若计算结果为正,则实际方向与假设方向一致; 若计算结果为负,则实际方向与假设方向相反。
Ia
U
+ _
Uab
b
R1
R2
2 2
设: U=10V
2019/10/30
则: 当R1接入时 : I=5A
当R1 、R2 同时接入时: I=10A
课件
27
电压源模型 由理想电压源串联一个电阻组成
I
伏安特性
U
RS
+
U
RL US
- US
I
RS称为电源的内阻或输出电阻
U = US – IRS
RS越大19/10/30
课件
17
结论
在进行功率计算时,如果假设 U、I 正方向一致。 当 计算的 P > 0 时, 则说明 U、I 的实际
电工技术电子技术-清华-11
4.连接
自学
直流电机有四个出线端,电枢绕组、励磁绕组 各两个,可通过标出的字符和绕组电阻的大小 区别。
调电枢电压U,n0
变化,斜率不变,
所以调速特性是一
组平行曲线。
n n0 n0' n0"
电 压 降 低
T
精品课件
2.改变电枢电压调速的特点
(1)工作时电枢电压一定,电压调节时,不允许超
过UN,,而 n U,所以调速只能向下调。
(2)可得到平滑、无级调速。
(3)调速幅度较大。
改变电枢电压调速方案举例:
第11讲
第七章
直流电动机 单相异步电动机
步进电机
精品课件
海南风光
第七章 电动 §7.2 直流电机动机
7.2.1 概述
7.2.2 工作原理 7.2.3 电枢电动势及电压平衡关系 7.2.4 电磁转矩 7.2.5 机械特性 7.2.6 直流电动机的调速 7.2.7 直流电动机的使用和额定值 §7.3单相异步电动机 §7.4步进电机
nn0n 其中
n0
U
K
Φ
E
,n
KRf If n ,但在额定情况下, 已 近饱和,If 再加大,对 影响不大,所以这种增加
磁通的办法一般不用。
• Rf If n ,减弱磁通是常用的调速方
法。
概念:改变磁通调速的方法—
减小磁通,n只能上调。 精品课件
T
nn0n 其中
n0
U
K
Φ
E
,n
KT
Ra
KEΦ2
T
n0: 理想空载转速,即T=0时的转速。(实际工作 时,由于有空载损耗,电机的T不会为0。)
n
01电工(第1章电路基本理论,电源模型的等效互换_67401522
I
I 2 + 10V _ RL + U _ 2
+ 5A RL U _
10V / 2 = 5A
27
等效变换的注意事项
I 2 + 10V _ RL + U _ 2 I + 5A RL U _
(1) “ 等效”是指“对外”等效(等效互换前后对外伏--安 特性一致),即:I=I', U=U';
3
关于这门课
5、教材
(1)唐庆玉编. 《电工技术与电子技术》(上册),清华大学出版社,2008 (2)唐庆玉编. 《电工技术与电子技术》(下册),清华大学出版社,2007
网络学堂上有:勘误表
参考资料: 1. 2. 3. 4. 5. 6. 唐庆玉编. 《电工技术与电子技术习题解答》(上册),清华大学出版社,2008 唐庆玉编. 《电工技术与电子技术习题解答》(下册),清华大学出版社,2008 王鸿明.《电工与电子技术》(上、下册).高等教育出版社,2005年第一版 秦曾煌.《电工学》(上下册:电子技术).高等教育出版社,1999年第五版. Richard E. Johnson. Introduction to Electric Circuits. 5th Edition, 2001 Thomas L. Floyd. Electronic Devices. 5th Edition, 1999
7
1.1 电路的基本概念
1.1.1 电路模型 I
灯泡
S 开关 负载 U R 连线 电路模型 连 线 为 理 想
的,电阻为0
+
开关 电池
E 电源
实际电路
电源、负载、开关、连线组成电路模型
电工电子技术说课 ppt课件
适用计算机辅助设计与制造专业
说课人:机电系 陈红玲
1
说课项目
课程性质 教学目标
主要 内容
课程衔接与设计 教学改革
教学单元设计
能力考核评价
课程教学效果
2
精品资料
• 你怎么称呼老师? • 如果老师最后没有总结一节课的重点的难点,你
是否会认为老师的教学方法需要改进? • 你所经历的课堂,是讲座式还是讨论式? • 教师的教鞭 • “不怕太阳晒,也不怕那风雨狂,只怕先生骂我
4、常用测量工具及仪器使用能力; 3、进一步掌握不对称负载时这两种 3、培养学生对待工作严谨的态度和工
5、电路分析、计算、调试、检测 连接方法下线电压、相电压及线电流、 作责任心等职业操作规范。
能力。
相电流的关系。
重点:1、负载作星形联接、三角形联接的方法; 2、线电压、相电压及线电流、相电流的关系;
❖ 初步掌握用电安全知识。
9
(二) 能力目标 ❖ 掌握高等电类工程技术人员所必需具备的电路、
磁路、模电、数电的基础知识及分析计算的基 本方法;
❖ 初步具备工程计算和实验研究的能力。
10
(三) 综合素质目标 A
实事求是和严谨的态度
B
安全意识和责任意识
C
沟通、协作和组织协调能力
D
团队合作精神
E
严格的科学态度和分析问题的逻辑性与条理性
笨,没有学问无颜见爹娘 ……” • “太阳当空照,花儿对我笑,小鸟说早早早……”
4
一、课程性质
核心课程2
电子与电工技术
学期
2
总学时 72
理论 学时
50
实践 学时
22
职业能力要求: 一般电路的计算与应用能力; 电路的合理选择与应用能力; 供电、配电及用电各个环节的基础电气安全知识与实际应用能力。
电工电子技术基础-第1章 电路基本概念及基本定律
对于简单电路,可以用欧姆定律进行分析。对于除简单电路以 外的电路,就得运用基尔霍夫定律。基尔霍夫定律揭示了回路中各 部分电压之间和结点上各个电流之间的规律。
(1)支路
电路中通过同一电流的分支电路
有源支路
无源支路
章目录 上一页 下一页
第1章 电路基本概念与定律——基尔霍夫定律
a
(2)结点 (3)回路 (4)网孔 (5)网络
性质和外特性;
接着从分析复杂电路角度出发,讨论约束电路中回路电压和结点电流基尔霍夫定律,
为下一章推导电路分析方法莫定基础。
章目录 上一页 下一页
第1章 电路基本概念与定律——电路模型
1.1 电路模型
一、实际电路的组成和作用 电路 由实际电气元件按一定方式连接而成的一个整体,以 形成电流通路,从而实现某种特定的功能。
• (3)在电子测量和信号检测中的应用
示 波 器
万 用 表
虚 拟 仪 器
章目录 上一页 下一页
教材及参考书
教材: 《电工学简明教程》(第三版). 秦曾煌. 2015年. 高等教育出版社
参考书: 1.《电工学》. 唐介. 高等教育出版社 2.《电工与电子学》. 叶挺秀. 高等教育出版社 3.《电工学(上下册)》(第六版). 秦曾煌. 高等教育出版社 上册《电工学上电工技术》,下册《电工学下电子技术》 4.《电子技术基础-模拟部分》(第六版)康华光. 高等教育出 版社; 《电子技术基础-数字部分》(第六版)康华光. 高等教 育出版社
章目录 上一页 下一页
电路技术 §1- 5
电子技术 §6- 11
课程部分简介
直流电路 交流电路 模拟电子技术 数字电子技术
电路基本概念与基本定律 电路的分析方法 电路的暂态分析
电工技术电子技术-清华-5-精品文档52页
线路图
R17
R1
R4
R7
R11
R14
D
2019.4.7
T5
T1
T2
T3
T4
R16
R10
T6
R2 R3
R6
R8 R9
R5 RW R12 R13
R15
6V
方框图
输入电路
10.11.2019
T1 高放
变频
T2 T3 中放
课件
D 检波
T4 低放
T5 T6
功放
30
2.4 网络的频率特性---转移函数
概念:网络的频率特性是研究正弦交流电路中电压、
U
R1jL jCU
I
IRL
R IC
C
L
R2
R
L2
j
R2
L
L2
CU
实部
虚部
谐振条件:虚部=0。则 U 、I 同相
10.11.2019
课件
23
并联谐振频率
由上式虚部
R2
0L
0L2
0C0
T
U i
10.11.2019
课件
R
C
U O
34
低通滤波器的频率特性
幅频特性
T 0 :截止频率
T() 1
1RC2
1
1
2
0 ~ 0 :带宽
1
1
0
2
0
0
1 RC
相频特性
( ) tg1RC 45
10.11.2019
课件 90
35