病床呼叫系统

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑综合型实验设计报告

课程名称数字逻辑实验

题目名称病床呼叫系统

班级

学号

学生姓名

同组班级 20130612 同组学号

同组姓名

指导教师武俊鹏、孟昭林、刘书勇、赵国冬综合实验成绩

2015年06 月

摘要

随着社会的进步和发展,医疗水平的不断提高,现代医院护理需要简易及时地获知并处理病人的突发状况,实现患者在住院的任意时间可请求医生或护士进行诊断或护理。病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。呼叫系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便可行、利于推广。医院病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,提高管理效率与医护水平,是现代医院提高护理水平必不可少的设备。有了病床呼叫控制系统,医院的护理工作变得更加方便全面,不用再为值班医生和护士未能及时发现突发病况而烦恼。基于数字逻辑相关理论设计的病床呼叫系统可以及时、准确、可靠地实现病房呼叫管理,具有良好的实践应用。本文论及芯片的性能,型号选用,仿真分析,外部接线等控制应用。主要探讨了医院病房的5病床设计可行性方案,控制了逻辑呼叫控序与人员响应,列出了电器元件清单,绘出了硬件接线图,满足

了设计目标要求,并增添了拓展功能。

关键词:病床呼叫、数字逻辑

目录

1 需求分析........................................... - 6 -

1.1 基本功能要求.................................. - 6 -

1.2 创新拓展功能.................................. - 7 -

1.3 设计原理...................................... - 7 -

2 系统设计........................................... - 9 -

2.1 系统逻辑结构设计.............................. - 9 -

2.2 系统物理结构设计与仿真验证................... - 10 -

3 系统实现.......................................... - 28 -

3.1 系统实现过程................................. - 28 -

3.2 系统测试..................................... - 29 -

3.3 系统最终电路图............................... - 31 -

3.4系统团队分工.................................. - 32 -

3.5 最终实物连接图............................... - 32 -

4 总结.............................................. - 34 -

参考文献......................................... - 36 -

1 需求分析

1.1 基本功能要求

1)共有5个病床,每个病床优先级不同。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫;

2)设一护士站,护士站有病人紧急呼叫与复位的重置按钮;

3)每一病床均有对应指示灯,一旦病人按下紧急呼叫按钮,其对应指示灯亮;

4)病人按下呼叫按钮时,蜂鸣器响5秒;

5)在护士站的病房紧急呼叫中心,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力,有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);;

6)一旦护士发现呼叫后,须先按下复位键,再依病房紧急呼叫顺序处理病房紧急事故,指示灯方灯被重置。

1.2 创新拓展功能

1)当不同优先级的病人同时呼叫时,数码管只能显示优先级高的病人,我们增加了复位键,拨动后可显示下一优先级的病床号。

2)在医院里病床呼叫并不一定能及时的通知到护士医生去相应的病房,当一次蜂鸣器响和数码管显示没有及时的通知到医生护士时,需要再次提醒。我们扩展了二次提醒功能的模块。

1.3 设计原理

病房呼叫系统分为三个主要功能模块:呼叫模块,优先选择模块,译码显示模块。。这些模块共同工作完成本电路的功能实现。其中运用了3线—8线译码器和74LS148优先权编码器来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路和数码管来实现译码显示模块主要功能,运用74LS161四位二进制加法计数器来实现呼叫5秒模块。信号呼叫(1个至5个)由呼叫显示模块的各个呼叫开关发出并使对应的病床指示灯亮起。接着,信号传到优先选择模块,将优先级别最高的患者的信号选择出来,并传到呼叫模块与译码显示模块:呼叫模块通过74LS161四位二进制加法计数器电路令蜂鸣器鸣叫5秒,同时译码

显示模块通过小规模逻辑门集成电路与74LS148将信号变换成对应的患者床号,并由七段数码管显示出来。当护士发现后,按下复位键,数码管清零,此时该系统可继续工作,等待显示下一次呼叫。

2 系统设计

2.1 系统逻辑结构设计

该病床呼叫系统一共可以分成三个部分:信号输入、信号处理、信号输出。

图2.1-1 系统总设计图

2.2 系统物理结构设计与仿真验证

(1). 呼叫功能模块

病房内5个拨动开关和开关值班室另5个拨动开关接入74LS86构成输入信号,病床呼叫信号通过反相器74LS04后,再接到74LS148。在优先编码器电路中,同时输入两个以上编码信号时。不过在设计优先编码器时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。而值班室内可以拨动对应开关改变异或门输出的逻辑值,从而取消输出。74ls148优先编码器管脚功能介绍:为16脚的集成芯片,电源是VCC(16) GND(8),I0—I7为输入信号,

A2,A1,A0为三位二进制编码输出信号,IE是使能输入端,OE是使能输出端,GS为片优先编码输出端。

相关文档
最新文档