自动控制大作业-西电

合集下载

自动控制大作业--西安电子科技大学--matlab实现,运行完美实现16页word

自动控制大作业--西安电子科技大学--matlab实现,运行完美实现16页word

自动控制技术大作业学院电子工程学院学号学生姓名授课老师源程序:ft = 30;M=1;B=5;K=20;tspan = [0,5];x0= [0,0];options = odeset('AbsTol',[1e-6;1e-6]);[T,X]=ode45('xt4odefile',tspan,x0,options);figure(1)subplot(3,1,1),plot(T,X(:,1),'r'),title('位移随时间变化曲线'),grid onsubplot(3,1,2),plot(T,X(:,2),'b'),title('速度随时间变化曲线'),grid onsubplot(3,1,3),plot(X(:,2),X(:,1),'m'),title('位移随速度变化曲线'),grid ona = 1/M*(ft-B*X(:,2)-K*X(:,1));i = 1;while (abs(a(i))>0.0001|(abs(X(i,2))>0.0001))i = i+1;enddisp('系统到达稳态时的时间、速度和加速度及对应的位移分别为:');result = sprintf('时间t=%4.2f\n',T(i));disp(result);result = sprintf('速度v=%9.6f\n',X(i,2));disp(result);result = sprintf('加速度a=%9.6f\n',a(i));disp(result);result = sprintf('位移d=%6.4f\n',X(i,1));disp(result);其中xt4odefile.m文件为function xt = odefileC(t,x);ft = 30;M=1;B=5;K=20;xt = [x(2);1/M*(ft-B*x(2)-K*x(1))];end输出:系统到达稳态时的时间、速度和加速度及对应的位移分别为:时间t=4.47速度v=-0.000087加速度a=-0.000060位移d=1.5000源程序:num=[2,5,7];den=[1,6,10,6];[z,p,k]=tf2zp(num,den)[r,a,b]=residue(num,den)输出:z =-1.2500 + 1.3919i-1.2500 - 1.3919ip =-3.7693-1.1154 + 0.5897i-1.1154 - 0.5897ik =2r =2.2417-0.1208 - 1.0004i-0.1208 + 1.0004ia =b =结论:零点为-1.2500 + 1.3919i ,-1.2500 - 1.3919i ;极点为-3.7693 ,-1.1154 + 0.5897i,-1.1154 - 0.5897i ;增益为2源程序:num=[6.3223,18,12.811];den=[1,6,11.3223,18,12.811];t=0:0.005:20;[y,x,t]=step(num,den,t);plot(t,y);grid ontitle('单位阶跃响应曲线')xlabel('t')ylabel('c(t)')r10=1;while y(r10)<.1; r10=r10+1;end;r90=1;while y(r90)<.9; r90=r90+1;end;rise_time=(r90-r10)*0.005[ymax,tp]=max(y);peak_time=(tp-1)*.005max_overshoot=ymax-1s=4001;while y(s)>.98&y(s)<1.02;s=s-1;end; setting_time=(s-1)*.005输出:rise_time =0.5750peak_time =1.6700max_overshoot =0.6182setting_time =10.0300源程序:num=[1 1];den=[1 5 6 0];sys1=tf(num,den)subplot(3,1,1)step(sys1)subplot(3,1,2)rlocus(num,den)title('系统的根轨迹曲线')r=rlocus(num,den,20.575)a=[1];b=[1 0.8989];sys2=tf(a,b)sys=series(sys1,sys2)%系统串联subplot(3,1,3)step(sys)输出:Transfer function:s + 1s^3 + 5 s^2 + 6 sr =-2.0505 + 4.3225i -2.0505 - 4.3225i -0.8989 Transfer function:1s + 0.8989Transfer function:s + 1s^4 + 5.899 s^3 + 10.49 s^2 + 5.393 s源程序:w=logspace(-1,3,1000);%比例环节num0=0.01;den0=1;g0=tf(num0,den0);bode(g0,w)title('比例环节')grid%二阶积分环节num1=1;den1=[1,0,0];g1=tf(num1,den1);figure(2)bode(g1,w)title('二阶积分环节')grid%二阶微分环节num2=[1,0.01,1];den2=1;g2=tf(num2,den2);figure(3)bode(g2,w)title('二阶微分环节')grid%振荡环节num3=1;den3=[0.25,0.01,1];g3=tf(num3,den3);figure(4)bode(g3,w)title('振荡环节')grid%总:num=0.01*[1,0.01,1];den=[0.25,0.01,1,0,0];g=tf(num,den);figure(5)bode(g,w)title('原总波特图')grid输出:源程序:num=[0,20,20,10];den=conv([1,1,0],[1,10]); nyquist(num,den)输出:源程序:num=[2000,2000];den=conv([1 0.5 0],[1 14 400]);nichols(num,den)v = [-270 -90 -40 40];axis(v)ngrid输出:源程序:num = [0 2000 2000];den = conv([1 0.5 0],[1 14 400]);h=tf(num,den);bode(h);num = [0 2000 2000];den = conv([1 0.5 0],[1 14 400]);h=tf(num,den);[gm,pm,wg,wc]=margin(h)输出:gm =2.7493pm =73.3527wg =19.8244wc =5.3477源程序:num=[0 0 0 1];den=conv([.5 1 0],[1 1]);sysp=tf(num,den)sys=feedback(sysp,1)w=logspace(-1,1);bode(sys,w)grid on;[mag,phase,w]=bode(sys,w);[Mp,k]=max(mag);resonant_peak=20*log10(Mp)resonant_fre=w(k)n=1;while 20*log10(mag(n))>-3;n=n+1;end; bandwidth=w(n)输出:Transfer function:10.5 s^3 + 1.5 s^2 + sTransfer function:10.5 s^3 + 1.5 s^2 + s + 1resonant_peak =5.2388resonant_fre =0.7906bandwidth =1.26493.1A源程序:num = [1 2 3];den = [1 3 3 1];[A,B,C,D] = tf2ss(num,den)输出:A =-3 -3 -11 0 00 1 0B =1C =1 2 3D =3.2B源程序:Z=[-1 -3];P=[0 -2 -4 -6];K=4;[A,B,C,D]=zp2ss(Z,P,K)输出:A =-10.0000 -4.8990 0 04.8990 0 0 0-6.0000 -4.2866 -2.0000 00 0 1.0000 0B =11C =0 0 0 4D =3.1C源程序:A=[0 1;1 -2];B=[0;1];C=[1 3];D=1;[num,den]=ss2tf(A,B,C,D);tf(num,den)[z,p,k]=ss2zp(A,B,C,D);zpk(z,p,k)输出:Transfer function:s^2 + 5 ss^2 + 2 s - 1Zero/pole/gain:s (s+5)(s+2.414) (s-0.4142)源程序:A1=[0 1;-1 -2];B1=[0;1];C1=[1 3];D1=[1];A2=[0 1;-1 -3];B2=[0;1];C2=[1 4];D2=[0];[A,B,C,D]=series(A1,B1,C1,D1,A2,B2,C2,D2) [A,B,C,D]=parallel (A1,B1,C1,D1,A2,B2,C2,D2) [A,B,C,D]=feedback (A1,B1,C1,D1,A2,B2,C2,D2) [A,B,C,D]=feedback (A1,B1,C1,D1,A2,B2,C2,D2,+1) 输出:串联连接A =0 1 0 0-1 -3 1 30 0 0 10 0 -1 -2B =11C =1 4 0 0D =并联连接A =0 1 0 0-1 -2 0 00 0 0 10 0 -1 -3B =11C =1 3 1 4D =1单位负反馈连接A =0 1 0 0-1 -2 -1 -40 0 0 11 3 -2 -7B =11C =1 3 -1 -4D =1单位正反馈连接A =0 1 0 0-1 -2 1 40 0 0 11 3 0 1B =11C =1 3 1 41源程序:A=[0,-2;1,-3];t=.2;F=expm(A*t) %转移矩阵B=[2;0];C=[0,3];D=[0];x0=[1,1];t=[0,.2];u=0*t;[y,x]=lsim(A,B,C,D,u,t,x0)输出:F =0.9671 -0.29680.1484 0.5219y =3.00002.0110x =1.0000 1.00000.6703 0.6703结论:t=0.2时,系统响应为6703.0)0()0(21==x x ,y (0.2)=2.0110源程序:A=[-3,1;1,-3];B=[1,1;1,1];C=[1,1;1,-1];D=[0];Qc=ctrb(A,B)Qo=obsv(A,C)Rc=rank(Qc)Ro=rank(Qo)输出:Qc =1 1 -2 -21 1 -2 -2Qo =1 11 -1-2 -2-4 41Ro =2结论:能控性矩阵和能观性矩阵的秩分别为1,2,又系统阶次是2,故系统是不可控的,是可观测的。

西电EDA大作业多功能彩灯

西电EDA大作业多功能彩灯

EDA设计报告彩灯控制器(老师:宗汝)班级:学号:姓名:一.设计要求设计能让一排灯(8只)自动改变显示花样的控制系统。

可将实验板上的一排发光二极管作为彩灯用。

控制器应有两种控制方式:◆规则变化。

变化节拍有0.5秒和0.25秒两种,交替出现,每种节拍可有8种花样,各执行一或二个周期后轮换。

彩灯变化方向有单向移动,双向移动,跳跃移动等。

◆随机变化。

变化花样相同,但节拍及花样的转换都随机出现。

二.设计方案(1).分频模块。

要产生快慢两种节拍,则首先需要有分频器模块,0.5秒和0.25秒两种则可选择四分频和八分频。

通过按键进行选择切换。

则clk为输入时钟信号,需经分频器分频并输入到LED显示电路;clr为复位清零信号,高电平有效,有效时,电路无条件的回到初始状态;OPT为频率快慢选择信号,低电平节奏快,高电平节奏慢;(2)LED显示模块。

经过分频的时钟信号输入LED显示电路中,使电路有规律的输出按照设定的各种花样变化。

xuan为选择彩灯变化花样信号,便于改变彩灯花样。

而最后就是输出彩灯变化花样led。

三.系统程序设计分频器模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FENPINQI isport(clk:in std_logic;clr:in std_logic;opt:in std_logic;clkout:out std_logic);end FENPINQI;architecture arc of FENPINQI issignal clk_tmp: std_logic;signal counter: std_logic_vector(1 downto 0);beginprocess(clk,clr,opt)beginif clr='1' then --清零clk_tmp<='0';counter<="00";elsif clk'event and clk='1' thenif opt='0' then --四分频if counter="01" thencounter<="00";clk_tmp<=not clk_tmp;elsecounter<=counter+'1';end if;else --八分频if counter="11" thencounter<="00";clk_tmp<=not clk_tmp;elsecounter<=counter+'1';end if;end if;end if;end process;clkout<=clk_tmp; --输出分频后的信号end arc;花样一:--用分频器分频后的时钟来显示花样实现library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity HY1 isport(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led1:out std_logic_vector(7 downto 0));end HY1;architecture arc of HY1 istype state is(s0,s1,s2,s3,s4,s5,s6);signal current_state:state;signal light:std_logic_vector(7 downto 0);beginprocess(clr,clk1,xuan)isconstant l1:std_logic_vector(7 downto 0):="10000001";constant l2:std_logic_vector(7 downto 0):="01000010";constant l3:std_logic_vector(7 downto 0):="00100100";constant l4:std_logic_vector(7 downto 0):="00011000";constant l5:std_logic_vector(7 downto 0):="00100100";constant l6:std_logic_vector(7 downto 0):="01000010";beginif xuan="01"thenif clr='1' thencurrent_state<=s0;elsif(clk1'event and clk1='1') thencase current_state iswhen s0=>light<="ZZZZZZZZ";current_state<=s1;when s1=>light<=l1;current_state<=s2;when s2=>light<=l2;current_state<=s3;when s3=>light<=l3;current_state<=s4;when s4=>light<=l4;current_state<=s5;when s5=>light<=l5;current_state<=s6;when s6=>light<=l6;current_state<=s1;end case;end if;end if;end process;led1<=light;end arc;花样二:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity HY2 isport(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led2:out std_logic_vector(7 downto 0));end HY2;architecture arc of HY2 istype state is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s17,s18,s19,s 20,s21,s22,s23,s24,s25,s26,s27,s28,s29,s30);signal current_state:state;signal light:std_logic_vector(7 downto 0);beginprocess(clr,clk1,xuan)isconstant l1:std_logic_vector(7 downto 0):="00000000";constant l2:std_logic_vector(7 downto 0):="10000000";constant l3:std_logic_vector(7 downto 0):="11000000";constant l4:std_logic_vector(7 downto 0):="11100000";constant l5:std_logic_vector(7 downto 0):="11110000";constant l6:std_logic_vector(7 downto 0):="11111000"; constant l7:std_logic_vector(7 downto 0):="11111100"; constant l8:std_logic_vector(7 downto 0):="11111110"; constant l9:std_logic_vector(7 downto 0):="11111111"; constant l10:std_logic_vector(7 downto 0):="01111111"; constant l11:std_logic_vector(7 downto 0):="00111111"; constant l12:std_logic_vector(7 downto 0):="00011111"; constant l13:std_logic_vector(7 downto 0):="00001111"; constant l14:std_logic_vector(7 downto 0):="00000111"; constant l15:std_logic_vector(7 downto 0):="00000011"; constant l16:std_logic_vector(7 downto 0):="00000001"; constant l17:std_logic_vector(7 downto 0):="00000011"; constant l18:std_logic_vector(7 downto 0):="10000111"; constant l19:std_logic_vector(7 downto 0):="00001111"; constant l20:std_logic_vector(7 downto 0):="00011111"; constant l21:std_logic_vector(7 downto 0):="00111111"; constant l22:std_logic_vector(7 downto 0):="01111111"; constant l23:std_logic_vector(7 downto 0):="11111111"; constant l24:std_logic_vector(7 downto 0):="11111110"; constant l25:std_logic_vector(7 downto 0):="11111100"; constant l26:std_logic_vector(7 downto 0):="11111000"; constant l27:std_logic_vector(7 downto 0):="11110000"; constant l28:std_logic_vector(7 downto 0):="11100000"; constant l29:std_logic_vector(7 downto 0):="11000000"; constant l30:std_logic_vector(7 downto 0):="10000000"; beginif xuan="10" thenif clr='1' thencurrent_state<=s0;elsif(clk1'event and clk1='1') thencase current_state iswhen s0=>light<="ZZZZZZZZ";current_state<=s1;when s1=>light<=l1;current_state<=s2;when s2=>light<=l2;current_state<=s3;when s3=>light<=l3;current_state<=s4;when s4=>light<=l4;current_state<=s5;when s5=>light<=l5;current_state<=s6;when s6=>light<=l6;current_state<=s7;when s7=>light<=l7;current_state<=s8;when s8=>light<=l8;current_state<=s9;when s9=>light<=l9;current_state<=s10;when s10=>light<=l10;current_state<=s11;when s11=>light<=l11;current_state<=s12;when s12=>light<=l12;current_state<=s13;when s13=>light<=l13;current_state<=s14;when s14=>light<=l14;current_state<=s15;when s15=>light<=l15;current_state<=s16;when s16=>light<=l16;current_state<=s17;when s17=>light<=l17;current_state<=s18;when s18=>light<=l18;current_state<=s19;when s19=>light<=l19;current_state<=s20;when s20=>light<=l20;current_state<=s21;when s21=>light<=l21;current_state<=s22;when s22=>light<=l22;current_state<=s23;when s23=>light<=l23;current_state<=s24;when s24=>light<=l24;current_state<=s25;when s25=>light<=l25;current_state<=s26;when s26=>light<=l26;current_state<=s27;when s27=>light<=l27;current_state<=s28;when s28=>light<=l28;current_state<=s29;when s29=>light<=l29;current_state<=s30;when s30=>light<=l30;current_state<=s1;end case;end if;end if;end process;led2<=light;end art;花样三:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity HY3 isport(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led3:out std_logic_vector(7 downto 0));end HY3;architecture art of HY3 istype state is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14); signal current_state:state;signal light:std_logic_vector(7 downto 0);beginprocess(clr,clk1,xuan)isconstant l1:std_logic_vector(7 downto 0):="10000000";constant l2:std_logic_vector(7 downto 0):="01000000"; constant l3:std_logic_vector(7 downto 0):="00100000"; constant l4:std_logic_vector(7 downto 0):="00010000"; constant l5:std_logic_vector(7 downto 0):="00001000"; constant l6:std_logic_vector(7 downto 0):="00000100"; constant l7:std_logic_vector(7 downto 0):="00000010"; constant l8:std_logic_vector(7 downto 0):="00000001"; constant l9:std_logic_vector(7 downto 0):="00000010"; constant l10:std_logic_vector(7 downto 0):="00000100"; constant l11:std_logic_vector(7 downto 0):="00001000"; constant l12:std_logic_vector(7 downto 0):="00010000"; constant l13:std_logic_vector(7 downto 0):="00100000"; constant l14:std_logic_vector(7 downto 0):="01000000"; beginif xuan="11" thenif clr='1'thencurrent_state<=s0;elsif(clk1'event and clk1='1')thencase current_state is --状态机转换when s0=>light<="ZZZZZZZZ";current_state<=s1;when s1=>light<=l1;current_state<=s2;when s2=>light<=l2;current_state<=s3;when s3=>light<=l3;current_state<=s4;when s4=>light<=l4;current_state<=s5;when s5=>light<=l5;current_state<=s6;when s6=>light<=l6;current_state<=s7;when s7=>light<=l7;current_state<=s8;when s8=>light<=l8;current_state<=s9;when s9=>light<=l9;current_state<=s10;when s10=>light<=l10;current_state<=s11;when s11=>light<=l11;current_state<=s12;when s12=>light<=l12;current_state<=s13;when s13=>light<=l13;current_state<=s14;when s14=>light<=l14;current_state<=s1;end case;end if;end if;end process;led3<=light;end art;花样四:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity HY4 isport(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led4:out std_logic_vector(7 downto 0));end HY4;architecture art of HY4 istype state is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14); signal current_state:state;signal light:std_logic_vector(7 downto 0);beginprocess(clr,clk1,xuan)isconstant l1:std_logic_vector(7 downto 0):="10101010";constant l2:std_logic_vector(7 downto 0):="01010101";constant l3:std_logic_vector(7 downto 0):="10010010";constant l4:std_logic_vector(7 downto 0):="01001001";constant l5:std_logic_vector(7 downto 0):="10010100";constant l6:std_logic_vector(7 downto 0):="01001001";constant l7:std_logic_vector(7 downto 0):="00100100";constant l8:std_logic_vector(7 downto 0):="00011000";constant l9:std_logic_vector(7 downto 0):="00101010";constant l10:std_logic_vector(7 downto 0):="11000011";constant l11:std_logic_vector(7 downto 0):="01100000";constant l12:std_logic_vector(7 downto 0):="00111000";constant l13:std_logic_vector(7 downto 0):="10001100";constant l14:std_logic_vector(7 downto 0):="01001001";beginif xuan="00" thenif clr='1'thencurrent_state<=s0;elsif(clk1'event and clk1='1')thencase current_state iswhen s0=>light<="ZZZZZZZZ";current_state<=s1;when s1=>light<=l1;current_state<=s2;when s2=>light<=l2;current_state<=s3;when s3=>light<=l3;current_state<=s4;when s4=>light<=l4;current_state<=s5;when s5=>light<=l5;current_state<=s6;when s6=>light<=l6;current_state<=s7;when s7=>light<=l7;current_state<=s8;when s8=>light<=l8;current_state<=s9;when s9=>light<=l9;current_state<=s10;when s10=>light<=l10;current_state<=s11;when s11=>light<=l11;current_state<=s12;when s12=>light<=l12;current_state<=s13;when s13=>light<=l13;current_state<=s14;when s14=>light<=l14;current_state<=s1;end case;end if;end if;end process;led4<=light;end art;顶层设计:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity caideng8 isport(clk:in std_logic;clr:in std_logic;opt:in std_logic;xuan:in std_logic_vector(1 downto 0);led:out std_logic_vector(7 downto 0) --八路彩灯输出);end caideng8;architecture art of caideng8 iscomponent FENPINQI --对分频器模块进行定义port(clk:in std_logic;clr:in std_logic;opt:in std_logic;clkout:out std_logic);end component FENPINQI;component HY1 --对花样一模块进行定义port(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led1:out std_logic_vector(7 downto 0));end component HY1;component HY2 --对花样二模块进行定义port(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led2:out std_logic_vector(7 downto 0));end component HY2;component HY3 --对花样三模块进行定义port(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led3:out std_logic_vector(7 downto 0));end component HY3;component HY4port(clk1:in std_logic;clr:in std_logic;xuan:in std_logic_vector(1 downto 0);led4:out std_logic_vector(7 downto 0));end component HY4;signal s:std_logic; --定义中间变量signal l1:std_logic_vector(7 downto 0);signal l2:std_logic_vector(7 downto 0);signal l3:std_logic_vector(7 downto 0);signal l4:std_logic_vector(7 downto 0);beginu1:FENPINQI port map(clk,clr,opt,s);u2:HY1 port map(s,clr,xuan,l1);u3:HY2 port map(s,clr,xuan,l2);u4:HY3 port map(s,clr,xuan,l3);u5:HY4 port map(s,clr,xuan,l4);led<=l1 when xuan="01" elsel2 when xuan="10" elsel3 when xuan="11" elsel4;end art;四.仿真花样一仿真波形:clr为输入,是异步复位端,当为高电平时有效。

西电 自动控制原理实验报告

西电 自动控制原理实验报告

自动控制原理实验报告课程编号: ME3121023专业自动化班级姓名学号实验时间: 2014年12月一、实验目的和要求:通过自动控制原理实验牢固地掌握《自动控制原理》课的基本分析方法和实验测试手段。

能应用运算放大器建立各种控制系统的数学模型,掌握系统校正的常用方法,掌握系统性能指标同系统结构和参数之间的基本关系。

通过大量实验,提高动手、动脑、理论结合实际的能力,提高从事数据采集与调试的能力,为构建系统打下坚实的基础。

二、实验仪器、设备(软、硬件)及仪器使用说明自动控制实验系统一套计算机(已安装虚拟测量软件---LABACT)一台椎体连接线18根实验一线性典型环节实验(一)、实验目的:1、了解相似性原理的基本概念。

2、掌握用运算放大器构成各种常用的典型环节的方法。

3、掌握各类典型环节的输入和输出时域关系及相应传递函数的表达形式,熟悉各典型环节的参数(K、T)。

4、学会时域法测量典型环节参数的方法。

(二)、实验内容:1、用运算放大器构成比例环节、惯性环节、积分环节、比例积分环节、比例微分环节和比例积分微分环节。

2、在阶跃输入信号作用下,记录各环节的输出波形,写出输入输出之间的时域数学关系。

3、在运算放大器上实现各环节的参数变化。

(三)、实验要求:1、仔细阅读自动控制实验装置布局图和计算机虚拟测量软件的使用说明书。

2、做好预习,根据实验内容中的原理图及相应参数,写出其传递函数的表达式,并计算各典型环节的时域输出响应和相应参数(K、T)。

3、分别画出各典型环节的理论波形。

5、输入阶跃信号,测量各典型环节的输入和输出波形及相关参数。

(四)、实验原理:实验原理及实验设计:1.比例环节:Ui-Uo的时域响应理论波形:传递函数:G(s)=U o(s)/U i(s)=10/3比例系数:K=10/3时域输出响应:Uo(t)=10/32.惯性环节:Ui-Uo的时域响应理论波形:传递函数:G(s)=U o(s)/U i(s)=1/(0.1s+1)比例系数:k=1时常数:T=RC=0.1时域输出响应:U o(t)=(1-错误!未找到引用源。

最新自动控制原理西安电子科技大学第三版课后答案 陕西科技大学自动控制原理考研真题优秀

最新自动控制原理西安电子科技大学第三版课后答案 陕西科技大学自动控制原理考研真题优秀

最新自动控制原理西安电子科技大学第三版课后答案陕西科技大学自动控制原理考研真题优秀自动控制原理西安电子科技大学第三版课后答案陕西科技大学自动控制原理考研真题篇一1)自动控制、自动控制系统的基本概念以及自动控制系统的三种基本控制方式;2)能分析某个自动控制系统的原理并绘制原理方框图;3)自动控制系统的分类以及对控制系统的基本要求。

2.控制系统的数学模型1)掌握控制系统的时域数学模型、控制系统的复域数学模型的建立方法及其相互转换;2)通过结构图的化简或梅逊增益公式求取系统的闭环传递函数、误差传递函数及干扰信号作用下的闭环传递函数等,了解绘制系统结构图的方法。

3.线性系统的时域分析法1)掌握系统性能指标的定义;2)掌握系统稳定性概念、劳斯稳定判据及其应用;3)掌握一阶、二阶系统的动态性能分析,及动态性能指标的计算,掌握二阶系统性能的改善,了解高阶系统动态性能的分析方法;4)掌握稳态误差的定义及计算。

4.线性系统的根轨迹法1)掌握根轨迹的基本概念,根轨迹与系统性能的关系;2)掌握根轨迹绘制的基本法则,灵活应用基本法则绘制系统的根轨迹;3)利用根轨迹分析系统的性能;4)了解参数根轨迹和零度根轨迹的概念及绘制方法。

5.线性系统的频域分析法1)理解频率特性的定义及其几何表示法;2)典型环节的频率特性,掌握系统开环对数频率特性图、幅相曲线图的绘制;3)掌握利用奈奎斯特稳定判据、对数频率稳定性判据判断闭环系统的稳定性;4)掌握相角稳定裕量和幅值稳定裕量的定义及其求取方法,及它们与系统性能的关系;5)开环频率特性与闭环系统性能之间的关系,了解闭环频率特性。

6.线性系统的校正方法1)正确理解控制系统校正的基本概念,校正方式,常用校正装置的特性;2)掌握串联超前校正、滞后校正、滞后-超前校正网络的校正原理及设计方法;3)将性能指标转换为希望开环对数幅频特性,根据希望特性确定最小相位系统的校正装置;4)了解局部反馈校正、复合校正的基本思路与方法。

自动控制理论综合设计大作业

自动控制理论综合设计大作业

实训报告实习(实训)名称:自动控制理论综合设计学院:电气工程学院专业、班级:指导教师:报告人:学号:时间: 2019年7月13日-2019年6月17实训报告题目:二阶线性系统动态性能改善一、目的1.熟悉二阶模拟系统的组成;2.研究系统结构参数与动态性能的关系;3.研究系统动态性能改善的方法。

二、使用仪器及软件1. ACES 自动控制综合实验台2. 计算机3.MATLAB 软件三、内容二阶线性系统模拟电路如下图图1所示,研究速度反馈对系统性能的改善。

图 1二阶线性系统模拟电路四、要求1.根据系统模拟电路,推导出系统传递函数,计算系统动态性能指标;2.为了改变系统动态性能,引入速度反馈,试设计出模拟电路,并进行理论计算;3.利用 MATLAB 建立仿真模型,进行系统分析;4.在 ACES 自动控制综合实验台上完成实际系统搭建,调试;5.写出设计过程及结果,并总结;6.心得体会。

五、理论计算过程一.二阶系统--原系统1.系统传递函数①比例环节该比例环节的传递函数为KRRsRsCsG===131)()()(。

②惯性环节该惯性环节的传递函数:11)()()(26256+=+==s C R Ts K s R s C s G RR . ③积分环节该积分环节的传递函数:sC R T R s C s G 1431s 1)s ()()(===. ④二阶线性系统传递函数因为6531R R R R ===,所以比例环节增益等于惯性环节增益,即121===K K K .由上述可知惯性时间常数261C R T =,积分时间常数142C R T =. 故该二阶系统的传递函数.s s s s )1(s K )()()()(21642621642112111211212321C C R R C R C C R R T T K T T T KK s T T s G s G s G s G ++=++=++==最终得055s 50s)(2++=s G 。

自动控制大作业--西安电子科技大学--matlab实现,运行完美实现!!!

自动控制大作业--西安电子科技大学--matlab实现,运行完美实现!!!

源程序:
num=[6.3223,18,12.811]; den=[1,6,11.3223,18,12.811]; t=0:0.005:20; [y,x,t]=step(num,den,t); plot(t,y);grid on title('单位阶跃响应曲线') xlabel('t') ylabel('c(t)')
加速度 a=-0.000060
位移 d=1.5000
二二二二二二二二二 2
1
0 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
二二二二二二二二二 5
0
-5 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
二二二二二二二二二 2
1
0
-0.5
0
0.5
1
对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料电试力卷保相护互装作置用调与试相技互术关,系电,力根通保据过护生管高产线中工敷资艺设料高技试中术卷资,配料不置试仅技卷可术要以是求解指,决机对吊组电顶在气层进设配行备置继进不电行规保空范护载高与中带资负料荷试下卷高问总中题体资,配料而置试且时卷可,调保需控障要试各在验类最;管大对路限设习度备题内进到来行位确调。保整在机使管组其路高在敷中正设资常过料工程试况中卷下,安与要全过加,度强并工看且作护尽下关可都于能可管地以路缩正高小常中故工资障作料高;试中对卷资于连料继接试电管卷保口破护处坏进理范行高围整中,核资或对料者定试对值卷某,弯些审扁异核度常与固高校定中对盒资图位料纸置试,.卷保编工护写况层复进防杂行腐设自跨备动接与处地装理线置,弯高尤曲中其半资要径料避标试免高卷错等调误,试高要方中求案资技,料术编试交写5、卷底重电保。要气护管设设装线备备置敷4高、调动设中电试作技资气高,术料课中并3中试、件资且包卷管中料拒含试路调试绝线验敷试卷动槽方设技作、案技术,管以术来架及避等系免多统不项启必方动要式方高,案中为;资解对料决整试高套卷中启突语动然文过停电程机气中。课高因件中此中资,管料电壁试力薄卷高、电中接气资口设料不备试严进卷等行保问调护题试装,工置合作调理并试利且技用进术管行,线过要敷关求设运电技行力术高保。中护线资装缆料置敷试做设卷到原技准则术确:指灵在导活分。。线对对盒于于处调差,试动当过保不程护同中装电高置压中高回资中路料资交试料叉卷试时技卷,术调应问试采题技用,术金作是属为指隔调发板试电进人机行员一隔,变开需压处要器理在组;事在同前发一掌生线握内槽图部内 纸故,资障强料时电、,回设需路备要须制进同造行时厂外切家部断出电习具源题高高电中中源资资,料料线试试缆卷卷敷试切设验除完报从毕告而,与采要相用进关高行技中检术资查资料和料试检,卷测并主处且要理了保。解护现装场置设。备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。

西电电院数电大作业

西电电院数电大作业

数电大作业学院:电子工程学院选题一:交通灯一、设计要求为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。

其中红灯亮,表示该条道路禁止通行;黄灯亮表示暂时的停车,等待信号;绿灯亮表示可以通行。

1.设计一个十字路口交通信号灯控制器,其要求如下:设南北方向的红、黄、绿灯分别为r,y,g;东西方向的红、黄、绿灯分别为R,Y,G,满足图1 的工作流程并且可以并行工作:g(R)→r(G),黄灯用于提示绿灯变为红灯或者绿灯变为红灯。

2.满足两个方向的工作时序:其中令东西方向为主干道方向,绿灯亮30秒,红灯亮20秒,黄灯5秒;南北方向为支线,绿灯20秒,红灯30秒,黄灯5秒。

3.十字路口要有数字显示装置(数码管),作为时间提示,以便人们更直观地把握时间。

二、方案1、总体设计方案分析系统的逻辑功能需求后,交通灯控制系统将主要由以下几个部分构成:定时脉冲发生器、状态机、控制器、译码器、延时器、彩灯。

定时脉冲发生器发出以1S为单位的定时脉冲,状态机控制交通灯红、绿、黄状态的变化,而控制器将控制数码管的倒计时,并将对状态机进行反馈。

延时器、译码器与交通彩灯将由状态机的输出信号进行相应的信号灯变化。

总体设计框图如下:为实现倒计时功能,可由555芯片设计一个1S为周期,50%占空比的脉冲电路,以控制控制器的时钟。

3、状态机设计将图一的四个状态分别以00、01、11、10表示,则可用双D触发器构成一个简单的状态机21124、控制器设计控制器主要实现倒计时功能,但普通计数器只有正向计数功能,固在计数输经过分析上表,其中每个状态的初始值都可由状态机的输出状态进行置数。

7610321212D5=Q1与非Q2 。

5、延时、译码、及信号灯设计信号灯同样可由状态机的输出信号控制,其中用“1”表示灯亮,“0”表示由译码器的两个输出脚经过或门得到。

但是,为了实现在29、04、19秒变灯还必须在状态机与译码器之间加上一个延时器,当变灯信号到达后,延时一秒,再经由译码器控制信号灯变化,而这同样也可以由一个双D触发器实现。

自动控制大作业-西电

自动控制大作业-西电

自动控制大作业-西电本页仅作为文档页封面,使用时可以删除This document is for reference only-rar21year.March题目:自动控制原理大作业指导老师:学院:电子工程学院专业:电子信息工程学生姓名:学号:2017年7月11日实验目的1,学会使用matlab模拟仿真系统的根轨迹图。

2,理解K值对系统响应的影响。

3,理解校正环节对系统性能的影响。

实验内容一、对教材P84页的图3-38天线位置控制系统,在给定传递函数下,试用MATLAB程序仿真1,单位反馈作用下系统的根轨迹图2,画出Bode图3,若限定系统超调量σ%<35%,确定K的取值范围(K为开环传递系数)。

4,当K取不同的值时,画出单位阶跃响应曲线。

Matlab程序如下所示:echo onpause %strike any key to continueclcK=[1 7 17.1 28 60];num1=K(1);p1=[1 0];p2=[0.05 1];p3=[0.025 1];den=conv(conv(p1,p2),p3);H1=tf(num1,den);H2=tf(K(2),den);H3=tf(K(3),den);H4=tf(K(4),den);H5=tf(K(5),den);pause;clc;damp(H1);rlocus(H1);%单位反馈作用下系统的根轨迹图pause;clc;bode(H1);pause;clc;impulse(H1);pause;clc;step(feedback(H1,1));pause;clc;%K=1是的单位阶跃响应step(feedback(H2,1));pause;clc;%K=7是的单位阶跃响应step(feedback(H3,1));pause;clc;%K=17.1是的单位阶跃响应step(feedback(H4,1));pause;clc;%K=28是的单位阶跃响应step(feedback(H5,1));pause;clc;%K=60是的单位阶跃响应echo off根据题目要求,所求增益不应大于13.9。

西电 自动控制 上机 实验 报告

西电 自动控制 上机 实验 报告

程序代码aa1.mft = 30;M=1;B=5;K=20; %系统参数tspan = [0,5]; %设置仿真开始和结束时间x0 = [0,0]; %系统初始值,零初始条件options = odeset('AbsTol',1e-6); %设置仿真计算精度[t,x] = ode113('xt4odefile',tspan,x0,options);%微分方程求解,计算位移x(:,1)和速度x(:,2)a = 1/M*(ft-B*x(:,2)-K*x(:,1)); %计算加速度i = 1;while (abs(a(i))>0.0001|(abs(x(i,2))>0.0001))i = i+1;enddisp('稳态时系统的位移、速度和加速度及对应的时间分别为:'); result = sprintf('位移d=%6.4f\n',x(i,1)); %显示计算结果disp(result);result = sprintf('速度v=%8.6f\n',x(i,2));disp(result);result = sprintf('加速度a=%9.6f\n',a(i));disp(result);result = sprintf('时间t=%4.2f\n',t(i));disp(result);d = x(:,1);subplot(311),plot(t,d); %绘制时间-位移曲线xlabel('时间(秒)');ylabel('位移(米)');title('时间-位移曲线');grid;v = x(:,2);subplot(312),plot(t,v); %绘制时间-速度曲线xlabel('时间(秒)');ylabel('速度(米/秒)');title('时间-速度曲线');grid;subplot(313),plot(d,v); %绘制位移-速度曲线xlabel('位移(米)');ylabel('速度(米/秒)');title('位移-速度曲线');grid;其中xt4odefile函数为:functionxt = xt4odefile(t,x)ft = 30;M=1;B=5;K=20;xt = [x(2);1/M*(ft-B*x(2)-K*x(1))]; 运行结果:程序代码aa2.mclear all; close all; num=[2,5,7]; den=[1,6,10,6]; sys=tf(num,den); [z,p,k]=tf2zp(num,den) [r,p,k]=residue(num,den)运行结果z ,p ,k 分别代表零点,极点和增益。

西电智能控制导论大作业(共14页)

西电智能控制导论大作业(共14页)

智能控制导论(dǎo lùn)大作业摘要(zhāiyào):智能(zhì nénɡ)控制(intelligent controls)是一项基于(jīyú)人工智能(artificial intelligence)而发展成熟的学科。

伴随(bàn suí)着人工智能的发展,人们得以将自动控制发展为智能控制。

智能控制的思潮起源于20世纪60年代的自动控制专家和人工智能专家们的研究。

在半个世纪的岁月中,智能控制已经得到长足的发展。

1985年IEEE在纽约召开了第一届智能控制学术讨论会,随后成立的IEEE智能控制专业委员会更是标志着智能控制这一新学科的形成。

近30年,科学界和工程界对智能控制的研究也越发的活跃起来。

智能控制作为一门新兴学科正式登上了国际科学的舞台,并对各界有者十分重要的意义和影响。

现在的通常指的智能控制主要包括但不限于:神经网络控制贝叶斯控制模糊(逻辑)控制神经模糊控制专家系统遗传控制智能代理(认知/意识控制)关键词:智能(zhì nénɡ)控制发展(fāzhǎn) 理论(lǐlùn) 方法(fāngfǎ) 应用前景前言:智能控制是一类新的控制技术,利用各种智能计算方法如神经网络,贝叶斯概率,模糊逻辑,机器学习,进化计算和遗传算法来实现功能。

智能控制正渐渐取代自动控制并成为人类自动控制科学技术的未来。

智能控制发展简史:早在中古时代,人们就有了对智能机器及对其进行控制的的幻想,比如传说中鲁班制造的机械鸟。

到了启蒙时期,文艺复兴带来的思想解放也使人们更加大胆的去幻想各种智能机器。

意大利人达芬奇流传下来的图纸中就有了不少关于智能机械的设想。

到了工业时代,差分机的设想被认为是现代计算机的起源,奥左斯特. 艾达.洛夫莱斯伯爵夫人(Ada语言即使以她为名)甚至开始研究算法,编写程序,希望差分机能智能地解决数学问题。

西电单片机大作业

西电单片机大作业

单片机大作业交通信号灯控制系统设计班级:021014学号:姓名:交通信号灯控制系统设计由于我国经济的快速发展从而导致了汽车数量的猛增,大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重。

日常的交通堵塞成为人们司空见惯而又不得不忍受的问题,在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。

随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。

而本设计是用MCS51单片机设计的一个交通灯控制系统,控制南北,东西方向的交通路况。

一设计的主要内容与要求1 设计出硬件电路2 设计出实现功能的软件编程3 利用相关的软件进行仿真二系统的总体方案交通灯在工作时应具有如下特点:红灯亮表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。

(1)当东西方向为红灯,此道车辆禁止通行,东西道行人可通过;南北道为绿灯,此道车辆通过,行人禁止通行。

时间为20秒。

(2)黄灯闪烁1秒,警示车辆和行人红、绿灯的状态即将切换。

绿灯转黄灯时先闪三下。

(3)当东西方向为绿灯,此道车辆通行;南北方向为红灯,南北道车辆禁止通过,行人通行,时间为20秒。

三硬件设计系统硬件电路如下图所示:1 主电路模块简介(1) 系统设计所用器件:MCS51,电阻若干,电容、三种颜色(红、绿、黄)的LED(用来模拟交通灯的三种状态),开关,晶振(12M Hz)。

(2)器件简单介绍①晶振晶振电路:②发光二极管信号灯采用超高亮度发光二极管开发的道路交通灯。

LED应用简单、可靠性高、成本低。

LED显示方式为共阳极动态显示方式。

③复位电路:2 MCS51电路各功能模块的设计(1) 采用MCS51微处理器为核心器件MCS51片内数据存储器(RAM)空间为128B;片内程序存储器(ROM)空间为4KB。

西电PLC课程大作业--2016

西电PLC课程大作业--2016

PLC课程设计作业说明:作业题目可自拟,也可在以下三个题目中选择一项;课程设计成绩由课题的难易程度和完成情况共同评价。

课题一四层电梯的模拟控制一.目的用PLC构成四层电梯控制系统。

二.内容1.控制要求把可编程控制器拨向RUN后,按其它按扭都无效,只有按SQ1,才有效E1亮,表示电梯原始层在一层。

电梯停留在一层:1.按SB6或SB7(SB2)或SB6,SB7(SB2),电梯上升,按SQ2,E1灭,E2亮,上升停止。

2.按SB8或SB9(SB3)或SB8,SB9(SB3),电梯上升,按SQ3无反应,应先按SQ2,E1灭,E2亮,电梯仍上升,再按SQ3,E2灭,E3亮,电梯停止。

3.按SB10(SB4),电梯上升,按SQ4无反应,应先按SQ2,E1灭,E2亮,电梯仍上升,再按SQ3,E2灭,E3亮,电梯仍上升,再按SQ4,E3灭,E4亮,电梯停止。

4.按SB6,SB8或SB6,SB8,SB3或SB6,SB3,电梯上升,按SQ2,E1灭,E2亮,电梯仍上升,按SQ3,E2灭,E3亮,电梯停止2秒后下降,再按SQ2,E3灭,E2亮,电梯停止。

5.按SB6,SB8,SB2或SB6,SB8, SB2,SB3或SB6, SB2,SB3,电梯上升,按SQ2,E1灭,E2亮,电梯停止2秒后上升,按SQ3,E2灭,E3亮,电梯停止2秒后下降,再按SQ2,E3灭,E2亮,电梯停止。

6.按SB6,SB9或SB6,SB9,SB3电梯上升,按SQ2,E1灭,E2亮,电梯仍上升,按SQ3,E2灭,E3亮,电梯停止2秒后上升,再按SQ4,E3灭,E4亮,电梯停止2秒后下降。

按SQ3,E4灭,E3亮,电梯仍下降,按SQ2,E3灭,E2亮,电梯停止。

7.按SB6,SB9,SB2或SB6,SB9,SB2,SB3,电梯上升,按SQ2,E1灭,E2亮,电梯停止2秒后上升,按SQ3,E2灭,E3亮,电梯停止2秒后上升,再按SQ4,E3灭,E4亮,电梯停止2秒后下降。

(西安电子科技大学出版社)自动控制原理课后习题答案

(西安电子科技大学出版社)自动控制原理课后习题答案

.( 西安电子科技大学出版社 )习题2-1试列写题2-1 图所示各无源网络的微分方程。

CR1LR1u i (t )R2u0 (t ) u i (t)C R2u0(t)( a)(b)题 2-1 图无源网络2-2试列写题2-2 图所示各有源网络的微分方程。

题 2-2图有源网络2-3 机械系统如题2-3 图所示,其中x r(t )是输入位移, x c (t ) 是输出位移。

试分别列写各系统的微分方程。

题 2-3 机械系统题 2-3 图机械系统2-4试证明题2-4( a)图的电网络系统和(b)图机械系统有相同的数学模型。

.x if 2K 2f1x0K1题2-4图电网络与机械系统2-5用拉氏变换法求解下列微分方程。

( 1)2c(t )7c(t )5c(t )r , r (t)R 1(t ) , c(0)0 , c(0) 0( 2)2c(t )7c(t )5c(t )0 , c(0)c0, c(0) c02-6如题 2-6图所示电路,二极管是一个非线性元件,其电流i d和电压id RU d之间的关系为 i d10 6 (e u d0.026 1) 。

假设系统工作点在u u du 02.39V ,i 0 2.19 10 3 A ,试求在工作点(0,0 )附近id d)的u i f (u线性化方程。

题2-6图2-7设晶闸管三相桥式全控整流电路的输入量为控制角,输出量为空载整流电压u d,它们之间的关系为u d U d 0 cos式中, U d 0是整流电压的理想空载值,试推导其线性化方程式。

2-8已知一系统由如下方程组组成,其中X r (s) 为输入, X 0 (s) 为输出。

试绘制系统结构图,并求出闭环传递函数。

X1( s)X r (s)G1(s) G1 (s) G7 (s) G8 (s) X0 (s)X 2 (s)G2 (s) X1( s) G6 ( s) X3 ( s)X 3 (s)X 2 (s)X c ( s)G5 ( s) G3 (s)X 0 (s)G4 (s)X 3(s)2-9系统的微分方程组如下. x1 (t )r (t)c(t)x2 (t )dx1(t)K 1 x1 (t) dtx3 (t)K 2 x2 (t )x4 (t )x3 (t )x5 (t )K 5 c(t)dx5 (t )dt K 3 x4 (t)K 4 x5(t) T dc(t )c(t )dt其中、K1、K 2、 K3、K 4、K 5、T均为正常数。

西电智能控制大作业

西电智能控制大作业

题目:浅谈智能控制班级:姓名:学号:目录摘要 (3)Abstract (3)1.前言 (4)2.智能控制的定义 (4)3.传统控制系统的特点 (5)4.传统智能控制面临的难题 (5)5.智能控制系统的特点 (6)6.智能控制的研究内容 (6)6.1 分层递阶控制系统 (7)6.2学习控制系统 (8)6.3专家控制系统 (9)6.4人工神经网络控制系统 (10)6.5模糊控制系统 (11)7.智能控制的发展与前景 (11)参考文献 (12)浅谈智能控制摘要本文是对智能控制的一个综述,结合这学期学习智能控制原理与应用的感悟,介绍了智能控制产生的定义,特点,应用,研究内容,对智能控制所面临的问题与挑战进行了叙述,还具体阐述了未来发展的趋势和前景,说明了智能控制象征着自动化的未来。

智能控制正是在各行各业应用中的一个缩影,它的作用以及影响力将会关系到国民生计。

又因为在当今社会各行各业中,智能控制技术对实现生产过程自动化有着更为积极的作用。

所以智能控制技术的研究和发展也越来越成为当代科学的一门重要课程。

AbstractThis paperis an overview of theintelligent control,it introduces the definition, the characteristic ,the application and the research contents of intelligent control.It describes the problems and challenges faced by intelligent control,the future development trends and prospects.So intelligent control symbolizes the future of automation.Intelligent control is so important that it relates to national life.Because in today's society in all walks of life, intelligent control technology has play a more active role to achieve automation of the production process.Therefore, the research and development of intelligent control technology is increasingly becoming an important course of contemporary science.关键词:智能控制定义人工智能传统控制研究内容发展与前景1.前言人类的进化归根结底是智能的进化,而智能反过来又为人类的进步服务。

西安电子科技大学2021学年上学期 大作业-电力系统自动化技术

西安电子科技大学2021学年上学期 大作业-电力系统自动化技术

学习中心/函授站_姓名学号西安电子科技大学网络与继续教育学院2021 学年上学期《电力系统自动化技术》期末考试试题(综合大作业)题号一二三四五六总分题分20 25 15 15 10 15得分考试说明:1、大作业试题于2021 年4 月23 日公布:(1)学生于2021 年4 月23 日至2021 年5 月9 日在线上传大作业答卷;(2)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整;2、考试必须独立完成,如发现抄袭、雷同均按零分计;3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写完成,要求字迹工整、卷面干净。

一、选择题(每小题 2 分,共20 分)1.线性整步电压的周期与发电机和系统之间的频率差()。

A.无关B.有时无关C.成正比关系D.成反比关系2.机端直接并列运行的发电机的外特性一定不是()。

A.负调差特性B.正调差特性C.无差特性D.正调差特性和无差特性3.可控硅励磁装置,当控制电压越大时,可控硅的控制角( ),输出励磁电流()。

A.越大越大B.越大越小C.越小越大D.越小越小4.构成调差单元不需要的元器件是()。

A.测量变压器B.电流互感器C.电阻器D.电容器5.通常要求调差单元能灵敏反应()。

A.发电机电压B.励磁电流C.有功电流D.无功电流6.电力系统有功负荷的静态频率特性曲线是()。

A.单调上升的B.单调下降的C.没有单调性的D.水平直线7.自动低频减负荷装置的动作延时一般为()。

A.0.1~0.2 秒B.0.2~0.3 秒C.0.5~1.0 秒D.1.0~1.5 秒8.并联运行的机组,欲保持稳定运行状态,各机组的频率需要()。

A.相同B.各不相同C.一部分相同,一部分不同D.稳定9.造成系统频率下降的原因是()。

A.无功功率过剩B.无功功率不足C.有功功率过剩D.有功功率不足10.当导前时间脉冲后于导前相角脉冲到来时,可判定()。

A.频差过大B.频差满足条件C.发电机频率高于系统频率D.发电机频率低于系统频率二、名词解释(每小题5 分,共25 分)1.整步电压2.远方终端3.低频减负荷装置4.准同期5.AGC三、填空题(每空1 分,共15 分)1.低频减负荷装置的应由系统所允许的最低频率下限确定。

自动控制原理大作业报告

自动控制原理大作业报告

背景:卫星通讯具有通讯距离远、覆盖范围大、通讯方式灵活、质量高、容量大、组网迅速基本不受地理和自然环境限制等一系列优点。

近来,采用小口径天线的车载卫星天线得到越来越广泛的应用。

系统采用8052单片机,对步进电动机运转和锁定进行有效控制。

在我做的大作业中选取了其中自动控制的部分进行分析和校正。

系统的提出:天线方位角位置随动系统建摸系统的原理图如图所示。

系统的任务是使输出的天线方位角θ0(t)跟踪输入方位角θi(t)的变化,试建立该系统的数学模型。

系统的参数值如下:电源电压V=10v;功率放大器的增益和时间常数K1=1,T1=0.01s;伺服电动机的电枢回路电阻Rd =8Ω,转动惯量Ja=0.02Kg m2,粘性摩擦系数f a=0.01N m s/rad,反电势系数C e=0.5V s/rad,转矩系数C m=0.5N m/A;减速器各齿轮的齿数为Z1=25,Z2= Z3=250;负载端的转动惯量JL=1 Kg m2粘性摩擦系数fL=1N m s/rad。

预定目标:阶跃响应的稳态误差为0,斜坡响应的稳态误差小于5%,阶跃响应的超调量小于25%,调节时间小于0.5s解:采用组合系统建摸法,根据原理图可以将系统划分为六个环节:输入电位器,差分放大器,功率放大器,电动机,减速器和输出电位器。

首先建立各个环节的数学模型,然后将它们组合起来则可得系统的数学摸型。

1环节的数学模型(1) 输入电位器与输出电位器由于输入电位器与输出电位器的线路和电位器的结构均相同,故这两个环节的传递函数是一样的。

对电位器环节的输出电压与输入角位移的特性进行线性化处理则可视其为一比例环节。

由图可知;当动触头位于电位器中心时其输出电压为零;朝前或朝后转动5圈其输出电压变化均为10V。

于是可得它们的传递函数为(2) 差分放大器与功率放大器放大器通常工作在放大状态,可不考虑饱和的影响。

差分放大器的时间常数比起功率放大器以及系统的其他环节的时间常数要小得多,可以忽视不计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

自动控制大作业-西电-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII
题目:自动控制原理大作业指导老师:
学院:电子工程学院
专业:电子信息工程
学生姓名:
学号:
2017年7月11日
实验目的
1,学会使用matlab模拟仿真系统的根轨迹图。

2,理解K值对系统响应的影响。

3,理解校正环节对系统性能的影响。

实验内容
一、对教材P84页的图3-38天线位置控制系统,在给定传递函数下,试用MATLAB程序仿真
1,单位反馈作用下系统的根轨迹图
2,画出Bode图
3,若限定系统超调量σ%<35%,确定K的取值范围(K为开环传递系数)。

4,当K取不同的值时,画出单位阶跃响应曲线。

Matlab程序如下所示:
echo on
pause %strike any key to continue
clc
K=[1 7 17.1 28 60];
num1=K(1);p1=[1 0];p2=[0.05 1];p3=[0.025 1];
den=conv(conv(p1,p2),p3);
H1=tf(num1,den);
H2=tf(K(2),den);
H3=tf(K(3),den);
H4=tf(K(4),den);
H5=tf(K(5),den);
pause;clc;
damp(H1);
rlocus(H1);%单位反馈作用下系统的根轨迹图
pause;clc;
bode(H1);pause;clc;
impulse(H1);pause;clc;
step(feedback(H1,1));pause;clc;%K=1是的单位阶跃响应step(feedback(H2,1));pause;clc;%K=7是的单位阶跃响应step(feedback(H3,1));pause;clc;%K=17.1是的单位阶跃响应step(feedback(H4,1));pause;clc;%K=28是的单位阶跃响应step(feedback(H5,1));pause;clc;%K=60是的单位阶跃响应echo off
根据题目要求,所求增益不应大于13.9。

由图可以看出来,当取的K值合适的时候系统经过振荡达到稳定,如果K值过小,则系统是缓慢的达到稳定,当K值过大时,系统的超调量过大,系统不能达到稳定状态,系统的稳态误差越大。

二、对教材P145页的图5-19角度随动系统,按给定传递函数编程;1,在无校正装置下,画出系统的根轨迹图和单位阶跃响应图;2,试确定校正网络及其系数;
3,画出在校正装置作用下,系统的根轨迹图及单位阶跃响应图;
4,试分析所增加校正环节的作用
Matlab程序如下所示:
echo on
pause %strike any key to continue
clc
num1=250;p1=[1 0];p2=[0.1 1];p3=[0.02 1];p4=[0.01 1]; num2=250*conv([0.5 1],[0.5 1]);p5=[1 0];p6=conv([2.8 1],[2.8 1]);
p7=conv([0.01 1],[0.01 1]);p8=conv([0.008 1],[0.008 1]); den1=conv(conv(conv(p1,p2),conv(p3,p4)),p4);
den2=conv(conv(p5,p6),conv(p7,p8));
H1=tf(num1,den1);
H2=tf(num2,den2);
pause;clc;
damp(H1);
rlocus(H1);%未加反馈校正装置之前单位反馈作用下系统的根轨迹图
pause;clc;
rlocus(H2);%加反馈校正装置之后单位反馈作用下系统的根轨迹图pause;clc;
bode(H1);pause;%未加反馈校正装置之前单位反馈作用下系统的Bode图
hold on
bode(H2);pause;%加反馈校正装置之后单位反馈作用下系统的Bode 图
pause;clc;
figure(2)
step(feedback(H1,1));pause;clc;%未加反馈校正装置之前单位反馈作用下系统的单位脉冲响应
step(feedback(H2,1));pause;clc;%加反馈校正装置之后单位反馈作用下系统的单位脉冲响应
echo off
11
实验结果表明:
加入反馈环节以后,而且减小了干扰误差,响应时间也得到了提高,超调量也满足系统的要求,而且特性的低频段没有修改,因此系统的精度不会受到什么影响。

实验感想
通过这次matlab 仿真实验,增强了我对自动控制原理这门课的知识的理解和认识,而且增强了我对于这方面学习的兴趣,并且在同时使我掌握了掌握了matlab 在系统仿真中的部分应用。

相关文档
最新文档