倒计时器课程设计详解

倒计时器课程设计详解
倒计时器课程设计详解

河南机电高等专科学校课程设计报告书

课程名称:单片机原理及系统设计

课题名称:倒计时器课程设计

专业:计算机控制技术

班级:

学号:

姓名:

成绩:

2013 年12 月30 日

目录

1.设计目的 (1)

2. 设计准备 (1)

3.设计要求和设计指标 (1)

4.设计内容 (2)

4.1硬件部分 (2)

4.1.1硬件部分工作原理 (2)

4.1.2设计原理 (4)

4.1.3 PCB图及PCB库 (8)

4.2软件部分 (11)

4.2.1程序流程图 (12)

5.本设计改进建议 (12)

6.总结(感想和心得等) (13)

7.主要参考文献 (13)

附录 (13)

1)掌握利用keil uv4进行软件的编程及编译。

2)加深对单片机原理及系统设计课程的理解。

3)掌握倒计时的设计原理和方法。

4)加深用Protel 99SE进行原理图的绘制、原理图库创建、PCB的生成及PCB库的创建的操作方式。

2﹑设计准备

1)单片机STC89C52RC、数码管、74HC573锁存器、按键及其他元件。

2)软件keil uv4和Protel99 SE。

3、设计要求和设计指标

1)设计要求

①设计一个倒计时电路,并具有显示功能。

②设置外部操作键,能对倒计时器进行修改、启动、停止和清零。

③当计时器递减为零时,实现声光报警(蜂鸣器鸣叫,LED灯闪烁),当重新计时时,报警关闭。

④利用六位数码管的动态显示,来显示倒计时时间(时、分、秒),中间利用小数点隔开。

⑤当利用外部功能键设置时间时,利用三个LED灯来区分修改的是小时、分钟还是秒。

2)设计指标

①具有基本的倒计时功能。

②具有暂停、清零和报警的功能

③可以任意设置时间。

④开始时显示为六个零。

4.1硬件部分

4.11硬件部分工作原理

1)显示部分

利用六位七段数码管的动态显示,用来显示倒计时间和设置时间。数码管采用共阴极接法,当阳极接入高电平时,数码管显示,位选送入低电平时,数码管被选中可以参与显示(位选),再送入段选数值。段选采用共阴极接法,送入高电平可显示数值,如图1-3。利用D8、D7、D6三个数码管用于显示功能键。

2)按键部分

利用51单片机P3端口独立键盘,即P3^4 ﹑P3^5、P3^6、P3^7(如图1-8)。

按键检测流程如图1-2所示,程序中用延时10ms的方式进行消抖。按键S1为复位按键。3)定时器部分

用定时器T0和定时器T1,软启动、工作方式1。运用时首先打开全局中断、定时器1中断和定时器0中断才能运行。装入初值时,因为晶振频率为11.0592MHZ(如图1-5),所以一个机器周期为1.09us,计算65536个数字小于1s,所以应装初值为:

TH0=(65536-45872)/256;

TL0=(65536-45872)%256;

TH1=(65536-45872)/256;

TL1=(65536-45872)%256;

运行20次就1s。

4)报警部分

当倒计时减为零时,用P1端口P1^0LED 灯1s闪烁,蜂鸣器发声报警。LED灯为共阳极接口,当送入低电平时发光,蜂鸣器图1-1,与单片机相连如图1-9.

图1-2 按键检测流程图

图1-1蜂鸣器原理图

4.1.2、设计原理

sbit key1=P3^4 用于启动倒计时和停止倒计时。

sbit key1=P3^5 切换功能键(当第一次按下时切换到sec,此时D6灯亮。当第二次按下时切换到min,此时D7灯亮。当第三次按下时切换到

hour,此时D8灯亮)。

sbit key1=P3^6设定数值(当D6灯亮时sec++,当D7灯亮时min++,当D8

灯亮时hour++。)。

sbit key1=P3^7清零。六位数码管:前两位显示小时,中间两位显示分,最后两位显示秒。中间用小数点隔开。

图1-3六位数码管原理图

图1-4 STC89C52RC单片机原理图

图1-5复位电路及时钟原理图

图1-6锁存器原理图

图1-7 led灯连接原理图

图1-8 按键连接原理图

图1-9蜂鸣器连接原理图

图1-10原理图

原理图介绍:STC89C52RC单片机采用DIP40封装,电阻采用AXIAL0.4封装,led 灯采用DIODE0.4封装,数码管采用SMG封装(如图1-13),蜂鸣器采用beep封装(如图1-11),按键采用S1封装(如图1-12),电容采用RAD0.1封装,极性电容采用RB.2/.4封装,晶振采用XTAL1封装,三极管采用TO-92B封装。(PCB库如图1-11所示)

4.1.3 PCB图与PCB库

Protel 99SE是ProklTechnology公司基于Windows环境下开发的电路板设计软件。该软件功能强大,人机界面友好,易学易用,是大中专院校电学专业必学课程,同时也

是业界人士首选的电路板设计工具。

Protel 99SE由两大部分组成:电路原理图设计(Advanced Schematic)和多层印刷电路板设计(Advanced PCB)。其中Advanced Schematic由两部分组成:电路图编辑器(Schematic)和元件库编辑器(Schematic Library)。

图1-11蜂鸣器封装图(beep)

图1-12按键的封装图(S1)

图1-13 数码管的封装图(SMG)

图1-14 PCB封装图

4.2软件部分

Keil软件介绍:支持8051微控制器体系结构的Keil开发工具,适合每个阶段的开发人员,不管是专业的应用工程师,还是刚学习嵌入式软件开发的学生。

KEIL C51开发工具旨在解决嵌入式软件开发商面临的复杂问题。

当你开始一个新项目,只需简单的从设备数据库选择使用的设备,uVision IDE将设置好所有的编译器、汇编器、链接器和存储器选项。

包含大量的例程,帮助你着手使用最流行的嵌入式8051设备。

Keil μVision调试器准确地模拟8051设备的片上外围设备(IC、CAN、UART、SPI、中断、I/O端口、A/D转换器、D/A转换器和PWM模块)。模拟帮助你了解硬件配置,避免在安装问题上浪费时间。此外,使用模拟器你可以在没有目标设备的情况下编写和测试应用程序。

当你准备在目标硬件上测试软件应用时,可以使用MON51、MON390、NONADI、或者FlashMON51目标监视器、ISD51 In-System调试器、ULINK USB-JTAG适配器在目标系统上下载并测试程序代码。

4.2.1程序流程图

图1-12 程序流程图

4、本设计改进建议

①可以设计让其正计时,当计时时间到时报警。

②不用数码管,用1602液晶显示。

③程序中设计时,设置只能加,不能减,可以设计让其可以减。

④利用矩阵键来设计。

5、总结(感想和心得等)

单片机是我们重要的一门课程,因为在生活中有很多地方都运用了单片机方面的知识,例如我们的手表、计算器、充电器等等,都运用到单片机方面的知识。

经过多天的设计,设计基本完成,从中学习了很多知识。也知道了设计是多么不容易的事情,需要很多知识才能设计出来。从这几天的设计中,学习到了很多知识,加深了对学到的知识的理解,使对以前学习的知识有了深刻的理解。而且在设计发现好多知识在课本中没有,还有许多知识记不住,基础知识没掌握好,明白了不能只读书,还要多操作单片机,不断地学习。

6、主要参考文献

[1]《单片机原理及运用》主编董少明中国铁道出版社 2007.11出版

[2]《模拟电子技术》主编杨毅德重庆大学出版社 2004出版

[3]《8051单片机实践与应用》主编吴金戌沈庆阳清华大学出版社 2002出版附录

C语言程序:

#include (头文件)

#define uchar unsigned char(宏定义)

#define uint unsigned int

sbit dula=P2^6;(声明变量,锁存器U3、锁存器U4、位选端、led灯、蜂鸣器和四个按键)

sbit wela=P2^7;

sbit key1=P3^4;

sbit key2=P3^5;

sbit key3=P3^6;

sbit key4=P3^7;

sbit beep=P2^3;

sbit led=P1^0;

uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};(定义共阴数码管编码)

uchar sec,min,hour,temp1,num1,num2,temp2;(定义所需使用的变量)

void delay(uint xms)(延时程序)

{

uint i,j;

for(i=xms;i>0;i--)

for(j=110;j>0;j--);

}

void display(uchar hour,uchar min,uchar sec)(显示程序)

{

uchar s1,s2,m1,m2,h1,h2;

s2=sec/10;(分开秒的十位和各位)

s1=sec%10;

m2=min/10; (分开分的十位和各位)

m1=min%10;

h2=hour/10; (分开时的十位和各位)

h1=hour%10;

dula=1;(打开U4锁存端)

P0=table[h2];(送入段选信号)

dula=0;(关闭U4锁存端)

P0=0xff;(消影)

wela=1; (打开U3锁存端)

P0=0xfe; (送入位选信号)

wela=0; (关闭U3锁存端)

delay(1);(延时1毫秒)

dula=1;

P0=table[h1]+0x80;(+0x80是为了显示小数点)dula=0;

P0=0xff; wela=1;

P0=0xfd ;wela=0;

delay(1);

dula=1;

P0=table[m2];

dula=0;

P0=0xff;

wela=1;

P0=0xfb;

wela=0;

delay(1);

dula=1;

P0=table[m1]+0x80;

dula=0;

P0=0xff;

wela=1;

P0=0xf7;

wela=0;

delay(1);

dula=1;

P0=table[s2];

dula=0;

P0=0xff;

wela=1;

P0=0xef;

wela=0;

delay(1);

dula=1;

P0=table[s1];

dula=0;

P0=0xff;

wela=1;

P0=0xdf;

wela=0;

delay(1);

}

void keyscan()(按键程序)

{

if(key1==0)

{

delay(10);(延时消抖)

if(key1==0)

{

while(!key1);(检测按键释放)

temp1++;(key1键每按一次temp1加一次)

if(temp1==1)

{ TR0=1;(启动定时器T0)

TR1=0;(关闭定时器T1)

}

if(temp1==2)

{ TR0=0;

TR1=0;

beep=1;(关闭报警)

led=1;

temp1=0;

}

}

}

if(key3==0)

{

delay(10);

if(key3==0)

{

switch(temp2)(当temp2=1时功能键切换到秒,temp2=2时切换到分,temp2=3切换到时)

{

case 1:sec++;if(sec==60)sec=0;break;(秒加一)

case 2:min++;if(min==60)min=0;break;(分加一)

case 3:hour++;if(hour==24)hour=0;break;(时加一)

}

while(!key3);(检测按键释放)

}

}

if(key2==0)(功能键)

{

delay(10);

if(key2==0)

{ while(!key2);

temp2++;(功能键每按一次temp2加一次,如图1-7当temp2=1时,D4

灯亮,表示切换到秒。当temp2=2时,D3灯亮,表示切换到秒分。当temp2=3时,D4灯亮,表示切换到时。)

if(temp2==1)

{ P1=0xdf;

}

if(temp2==2)

{ P1=0xbf;

}

if(temp2==3)

{ P1=0x7f;

}

if(temp2==4)

{ temp2=0;

P1=0xff;(关闭所有的灯)

}

}

}

if(key4==0)(清零键并且能关闭报警)

{

delay(10);

if(key4==0)

{ while(!key4);

hour=0;

min=0;

sec=0;

TR0=0;

TR1=0;

beep=1;(关闭声报警)

led=1;(关闭光报警)

}

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

(完整版)电子倒计时定时器设计毕业设计论文

江苏信息职业技术学院 毕业设计(论文) 题目:电子倒计时定时器设计 摘要 随着时代的进步,电子技术的发展,倒计时定时器得到了越来越广泛的应用,给人们日常的生活、学习、工作、娱乐带来便利,电子定时器相比普通的定时器来事具有体积小、重量轻、造价低、精度高等特点。 本设计主要采用51系列单片机,通过硬件电路设计和软件编程设计来实现,硬件主要包括主控模块,时间显示模块,键盘设置模块,报警器模块的设计,软件编程主要采用C语言,虽然程序条数比较多,但是设计起来比较方便,可通过Keils软件进行调试。

此次倒计时定时器采用单片机AT89S51为核心,利用时钟芯片DS1302来显示一天的时间,系统通电后利用数码管自动显示当前时间,通过键盘可以调整时间,分别对时、分、秒进行加减,也可以通过键盘转换成倒计时模式,最大倒计时时间为59分59秒,而且误差很小,当倒计时为零时蜂鸣器进行报警,指示灯变亮,倒计时功能关闭则显示当前时间,操作简单方便。 关键词:时钟芯片;AT89S51;倒计时;DS1302

目录 摘要 (1) 第1章绪论 (5) 1.1课题的学术背景及其实际意义 (5) 1.2相关领域的成果及存在的不足 (5) 1.3课题来源及主要研究内容 (5) 第2章倒计时定时器的结构、原理及设计方案 (7) 2.1 单片机的发展概况 (7) 2.2 51单片机的内部结构 (7) 2.3 设计要求、方案及框图 (9) 2.1.1设计要求 (9) 2.1.2设计方案 (9) 2.1.3设计框图 (10) 第3章硬件电路设计 (11) 3.1ATS89C51单片机介绍 (11) 3.2时钟模块 (13) 3.2.1DS1302简介 (13) 3.2.2 (14) 3.2.3时钟电路设计 (15) 3.2.4时钟复位电路 (15) 3.3键盘模块 (16) 3.4显示模块 (17)

倒计时秒表课程设计

倒计时秒表课程设计

目录 一.设计目的 (1) 二.设计要求 (1) 三.总体设计 (1) 设计方案 (1) 硬件电路设计 (1) 1)C P U部分 (1) 2)晶振电路部分 (2) 3)L C D显示 (3) 4)键盘及蜂鸣器部分 (3) 软件程序设计 (4) 四.方案实施 (6) 单片机简介 (6) 4.2动态L C D液晶显示器显示 (6) 4.3 软件调试及调试方法 (8) 五.课程设计总结 (10) 六.参考文献 (10) 七.附件 (11) 源程序 (12) 总体电路图 (22)

一.设计目的 1熟悉整个项目的流程即单片机系统设计过程 2 学会使用各种仿真软件 3熟练的使用汇编语言编写小的应用程序 4 掌握系统的调试与安装 5提高学生的自学能力和动手能力 二.设计要求 1)可以实现正常秒表的所有功能,包括启动,暂停,复位等 2)可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时(10s,20s,30s....) 3)显示方式自选 4)任选一款51单片机 5)扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等 三.总体设计 设计方案 1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,LCD液晶显示器如何显示数字0—9;二,如何用单片机来控制LCD的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解LCD的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。 2)主要任务:软件的调试和烧录 硬件电路设计 1)CPU部分 口是“调模式”num 10,num20,num30,num50,num100 口是“开始”倒计时端口 口是“关闭”(返回)轰鸣器口,在定时可以返回到模式状态。 口是给轰鸣器送触发信号口 口是“暂停”口

倒计时器课程设计(带程序版)

湖南科技大学 课程设计报告课程名称:单片机原理及应用课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409218 08409224 起止日期:2010年12月20日~ 2010年12月31日指导教师: 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩 评 定 项目 权 重 成绩 1、设计过程中出勤、学习态度等方面0.2 2、课程设计质量与答辩0.5 3、设计报告书写及图纸规范程度0.3 总成绩 教研室审核意见: 教研室主任签字:年月日 教学系审核意见: 主任签字:年月日

基于STC89C52的倒计时器设计 1 设计任务与要求 本项目是由单片机执行设定的程序,在数码管显示倒计时的时间,且能由单片机接受矩阵键盘设定的时间。由4位数码管做显示,能够实现5种倒计时模式,通过控制按键进行选择9999s-0s、999s-0s、99s-0s、9s-0s、开始值由人工输入-0s。 2 方案设计与论证 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。现在,在许多领域中,定时器得到了广泛的应用,比如在体育比赛中的计时器;安全措施中的定时报警器;游戏中的倒计时;维持秩序的交通信号灯;红路灯,交通控制器,闹钟等等。可见倒计时器在社会中的重要性。当然,设计倒计时器的方法很多,以下是两个设计方案。 方案一:基于AT89S52单片机的LCD液晶显示模块1602显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,用按键来进行倒计时初值的选择,LCD1602液晶作为显示模块来显示倒计时间。 方案二:基于AT89S52单片机的数码管显示模块显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,按键来进行倒计时初值的选择。此电路对于倒计时器中的LED数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 方案比较:通过以上两个方案,我们发现,方案二总体比方案一好。首先方案一虽然硬件电路简单,但造价较高,且在编写程序实现所要求的功能时较难,而方案二所用的显示模块是比较熟悉的数码管,编写程序是相对容易,且电路造价不高,因此,综合考虑之后决定采用方案二。

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

24秒倒计时器系统设计

24秒倒计时器系统设计 一、仿真图 (一)Proteus元器件查找 1、芯片:89C51 2、电阻:res 3、缓冲器74HC244 4、数码管7SEG-------CC共阴极 5、非门74LS04 6、按键button 二、程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; typedef unsigned int uint; uint i,j,f1=0; uint q=4,p=2; uint sum = 0; sbit P2_0=P2^0; sbit P2_1=P2^1; sbit P2_2=P2^2; sbit P2_3=P2^3; uint num2=0,num=24,shi=2,ge=4; void T1_time()interrupt 3 {

TH1=(65536-49000)/256; TL1=(65536-49000)%256; num2++; if(num2==20){ num2=0; if(!f1){ if(num!=0)num--; shi=num/10; ge=num%10; } } } void ex_int()interrupt 0 { num=24; } void ex_int1()interrupt 2 { sum++; if(sum%2==1) f1=1; else f1=0; } void delay() { uint j; for(j=0;j<200;j++); } void disply() { P2&=0XF0; P1=table[shi]; P2_0=1; delay(); P2&=0XF0; P1=table[ge]; P2_1=1;

99倒计时课程设计讲解 实用

1苏—________________________________________________________________________ 1 2整体设计方案 (2) 3各单元的介绍 (3) 3.1最小应用系统 (3) 3.1.1 AT89C51 的介绍 (3) 3.1.2时钟电路的介绍 (5) 3.1.3复位电路 (7) 3.2锁存器74LS273的介绍 (8) 3.3数码管显示介绍 (9) 4 99倒计时主电路图 (10) 5程序流程图 (12) 6 99倒计时软件程序设计 (13) 7总拮 (15) 8说辞 (16)

9参考文献 (17)

1引言 目前单片机的应用越来越广泛,实际上,单片机得几乎在人类生活的各个领域都表现出强大的什么生命力,使计算机的应用范围达到了前所未有的广度和深度。单片机的出现尤其对电路工作者产生了观念上的冲击。在过去经常采用模拟电路、数字电路诗实现的电路系统,现在相当大一部分可以用单片机予以实现,传统的电路设计方法已演变成软件和硬件相结合的设计方,而且许多电路设计问题将转化为纯粹的程序设计问题。 INTEL公司从其生产单片机开始发展到现在,大体上可分为3大系列:MCST8 系列、M C S~51系列和M C S_96系列。M C S~51系列是8为高档单片机系列,也是我国目前应用最为广泛的一种单片机系列。单片机是把CPU、内存储器和某些W接口 电路集成在一块大规模芯片上的微型计算机。单片机的优点很多,具有体积小,成本低,抗干扰能力强,面向控制,可以实现分机各分布控制等。在进行99倒计时的课程设计中就是利用单片机的上述优点,采用的是AT89C51型号的单片机。 99秒倒计时器主要是用在精确时间上。它是通过一个按键来控制它的开和停,在控制过程中有一个暂停开关和一个复位按钮,它能及时有效的记录瞬间时间,它在我们的生活中的应用很广泛。

数显倒计时电路设计分析

学号 电子线路综合设计 设计说明书 数显倒计时电路设计 起止日期:2014 年6 月29 日至2014 年7 月10 日 学生姓名 班级13信科1 成绩 指导教师(签字) 计算机与信息工程学院 2014 年7 月10 日

课程设计任务书 2014 —2015学年第二学期 计算机与信息工程学院电子信息与科学专业 13信科1班级 课程设计名称:电子线路综合设计 设计题目:数显倒计时电路设计 完成期限:自 2015 年 6 月 29 日至 2015 年 7 月 10 日共 2 周 设计依据、要求及主要内容(可另加附页): 一、课程设计依据 数码显示管、555定时电路、计数器的逻辑功能 二、课程设计内容 设计并制作一个数显倒计时电路。要求如下: 1、电路具有10~99秒可预置定时功能。 2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。 3、倒计时结束时,计数器停止计数,LED不亮。 4、电路具有开机预置数功能。 5、自制本电路所用的直流电源和一秒信号源。 三.课程设计要求 1.要求独立完成设计任务。 2.课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。 3.测试要求:根据题目的特点,设计电路并仿真,最后焊接电路并进行调试。 4.课设说明书要求: 1)说明题目的设计电路图、仿真结果和调试过程。 2)详细介绍运用的理论知识和电路图设计过程。 3)绘制电路图并对硬件调试过程进行详细的分析。 指导教师(签字): 教研室主任(签字): 批准日期:2015 年 6 月 25 日

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

基于单片机的电子倒计时牌设计与实现

基于单片机的电子倒计时牌的设计与实现 摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以做完善。生活中单片机的应用很广,如电子倒计时牌就能够帮你记住一些重要的日子叫你不会忘记。它可以用于奥运会的倒计时、世界博览会的倒计时、高考的倒计时等重要的日子的计时,使你的生活变的简单而有规律。制作一些大的电子倒计时牌也能方便大众,如2008年奥运会在中国举办,在北京的那个大的奥运会倒计时牌就很好的给大众一个提醒的作用。 现在日常生活中时间对人们的重要性,越来越高了。时间伴随我们的每一天,每一时刻,所以我们由于各种原因会忘记各种重要的日子,这就是我们做这个电子倒计时牌的原因,它能够很好提醒人们重要的日子,保重我们不能忘记,也减少了人们不必要的损失。计时的方法也得到了发展,由最初人们用看系扣来记录重要的事情和天数,到看太阳的影子,来看时间的变化,再到出了发条表,人们用翻纸来看时间和天数,到现在人们有使用电子表,人们的生活再不断的提高和发展,电子倒计时牌是时代的发展的产物,也是人们智慧的产物。 本篇论文讨论了倒计时的设计和制作,单片机与外部温度采集芯片、液晶屏显示的电路连接,和使用Protel99进行原理图的绘制和PCB的制作,使用汇编和C语言进行软件的设计,并对系统硬件和软件进行了验证。 关键词:单片机;汇编;电子倒计时牌;Protel99

Electronic countdown board based on single chip design and implementation Abstract In recent years, with the penetration of computers in the social sphere, SCM applications are continually deepening, while the traditional control and test drive the rapidly growing update. In real-time detection and control of the microcomputer application system, the microcontroller is often used as a core component, only the SCM knowledge is inadequate and should be based on specific hardware architecture, and application-specific features of the software objects are combined to make perfect . Life of a very wide application of SCM, such as electronic countdown board will be able to help you remember some important call you will not forget the day. It can be used for the Olympic Games countdown, countdown to the Expo, and other important college entrance examination in the countdown to the days of the time, make your life more simple and regular. Produce large electronic countdown board and make it easier public, such as the 2008 Olympic Games held in China, in Beijing Olympics countdown board that big on a good reminder to the public a role. Now in daily life, the importance of time for people increasingly high. Time with us every day, every minute, so we can forget all due to various reasons, this is an important day we do this electronic countdown card, it is important to remind people of the day, we cannot forget yourself, also reduced the people to avoid unnecessary loss. Timing method is developed by using buttons at first people to record important things to see the sun, and the number of shadow, the change of time and again to the wind, people use double perspective, time and number of paper to use electronic, people are the life of people more continuous improvement and development, electronic countdown card is the product of the development of The Times, the wisdom of people. This paper discusses the design and manufacture, the countdown MCU and external temperature gathering chip, LCD display, and use of the circuit principle diagram Protel99 draw and PCB manufacture, assembly and C language used in the design of software and hardware and software is validated. Key words: Single-Chip computer, Compilation, Electronic countdown board, Protel99

单片机课程设计倒计时秒表

此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。掌握单片机应用系统的软硬件设计过程、方法及实现,强化单片机应用电路的设计与分析能力。提高学生在单片机应用方面的实践技能和科学作风;培育学生综合运用理论知识解决问题的能力,实现理论结合实际,学以至用的原则。用所学的知识和自身课外的拓展学习加深对专业课的理解和学习;锻炼综合运用电路设计及相关电子仪器、单片机软硬件结合的理论,结合生产实际分析和解决工作工程实际问题的能力,加固、加深和扩展有关电子类,汇编语言,相关电子电路和仿真软件方面的知识和能力。通过本次课程设计,应加强培养如下能力: (1)加强自身独立的动手能力和思考解决问题的能力,提高创造能力; (2)学会使用软件Proteus画原理图和仿真调试。 (3)学会基本焊接电路板的技能 通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。 二、设计要求 } 1、可以以实现正常秒表的所有功能,包括启动、暂停、复位等; 2、可以自由设定倒计时时间(10s、20s、30s···),并进行倒计时; 3、显示方式自选; 4、任选一款51单片机; 5、扩展功能:在秒表的基础上增加时钟功能,倒计时完成时加入报警单元,如声音、灯光等。

24秒倒计时器设计(课件设计)

目录 一、计时器概述 (1) 1、计时器的特点及应用 (1) 2、设计任务及要求 (1) 二、电路设计原理及单元模块 (1) 1、设计原理 (1) 2、设计方案 (2) 3、单元模块 (3) 3.1、所用各个芯片功能 (3) 3.2、各单元电路 (7) 四、安装与调试 (11) 1、电路的安装 (11) 2、电路的调试 (12) 五、结论与心得 (12) 六、参考文献 (13) 1、总电路图 (14) 2、元件清单 (14) 3、实物 (15)

24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计“智能篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 2、设计任务及要求 设计一个24秒倒计时器,具体功能要求如下: 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当计时器显示00,同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、

计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。 主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲信号通过一个与门将时钟截断,使计时器在计数到零时停住。 2、设计方案 图1、电路框图 总体电路说明:

电子课程设计报告-篮球比赛倒计时器

课程设计报告 课程名称:电子技术课程设计 设计题目:篮球比赛倒计时器 专业:自动化 班级: 1班学号: 学生: 时间: 2012 年 2 月 20 日~ 2 月26 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论 知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为 今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯等。 本课程设计“篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 本设计主要能完成:电路具有24.0秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为0.1秒;当计时器递减计时到零时,显示器显示0,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,并在Proteus下设计和进行仿真,得到了预期的结果。

目录 第1章绪论 (1) 1.1课程设计意义 (1) 1.2 设计任务及要求 (1) 1.2.1 设计任务 (1) 1.2.2 基本要求及目标 (1) 第2章电路框图及工作原理 (1) 2.1 设计方案 (1) 2.2 电路框图 (2) 第3章单元电路的设计 (2) 3.1 24进制计数器的设计 (2) 3.2 数码显示电路的设计 (4) 3.3 0.1秒脉冲的设计 (5) 3.4 报警电路的设计 (7) 3.5 整机工作原理 (7) 第4章电路仿真 (8) 心得体会 (10) 参考文献 (11)

数电课程设计-30秒倒计时器

30秒倒计时计数器设计 ——数字电子计数基础课程设计 学院:计算机学院 专业班级:通信工程10-2班 时间:2013年1月7日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录: 一、电路原理图 .................................................................................11 二、元器件明细表 (11) 设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能; 2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3、计时器计时间隔为1秒; 4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5、计时器暂停计数时,数码管闪烁提醒; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

相关文档
最新文档