基于FPGA的QPSK调制解调电路设计与实现_杨大柱

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
本文 作 者创 新 观 点: 文 中 基 于 FPGA 方 式 实 现 了 QPSK 数 字调制解调电路的设计, 它比传统的模拟调制方式有着显著的 优越性, 通信链路中的任何不足均可以借助于软件根除, 不仅 可以实现信息加密, 而且还可以通过相应的误差校准技术, 使 接收到数据准确性更高。此外, 本文采用了相位选择法进行 QPSK 解 调 设 计 , 与 常 用 的 调 相 解 调 法 相 比 , 设 计 更 简 单 , 更 适 合于 FPGA 实现, 系统的可靠性也更高。 参考文献: [1]段 吉 海 , 胡 媛 媛.基 于 VHDL 的 MSK 调 制 解 调 器 的 建 模 与 设 计[J]微计算机信息, 2006,7- 2:205- 207 [2]叶淦华.FPGA 嵌入式应用系统开发典型实例[M].北京:中国电 力出版社, 2005 作 者 简 介: 杨 大 柱,男 , 1973 年 出 生 , 汉 族,毕 业 于 南 开 大 学 电 子 系,硕士学位, 现从事电子学与计算机应用方面的研究。 Biogr aphy:Yang dazhu, male, born in 1973,Han,Master, graduat- ed from Nankai University. Now engaged in electrical and com- puter application. (233011 蚌埠中国人民解放军汽车管理学院)杨大柱 (Dept. of Technique Equipment,Automobile Management In- stitute of PLA ,Bengbu 233011,China) Yang Dazhu 通讯地址:(233011 蚌埠 解 放军 汽 车 管理 学 院 电工 电 子 教研 室) 杨大柱
modulation, the circuit are also be realized based on FPGA. The simulation result under MAX+PLUSII environment provides the cor-
rection of the design.

Key wor ds:QPSK,FPGA,modulation,demodulation

数字调制信号又称为键控信号, 调制过程可用键控的方法 由 基 带 信 号 对 载 频 信 号 的 振 幅 、频 率 及 相 位 进 行 调 制 , 最 基 本

的 方 法 有 3 种:正 交 幅 度 调 制(QAM)、频 移 键 控(FSK)、相 移 键 控 (PSK).根 据 所 处理 的 基 带信 号 的 进制 不 同 分为 二 进 制和 多 进 制
时, 送入加法器的数据“010”
end if;
elsif q=6 then q<=7;
if x=' 0' then xx<=xx+"011"; - - 调制信号 x 为低电平
时, 送入加法器的数据“011”
end if;
else q<=q+1;
end if;
end if;
end process;
process(yy) - - 此进程根据 yy 寄存器里的数据进行译码
您的论文得到两院院士关注 文章编号:1008- 0570(2007)03- 2- 0219- 03
P LD CP LD FP GA 应 用
基于 FPGA 的 QPS K 调制解调电路设计与实现
De s ig n a n d Re a liza tio n o f QPS K Mo d u la tio n a n d De m o d u la tio n Circu it Ba s e d o n FPGA
begin
if clk=' 1' and clk' event then
if yy="101" then yyy<="00";
- - yy 寄存器“101”对应基
带 码 “00”
elsif yy="011" then yyy<="01"; - - yy 寄 存 器 “011”对 应
基 带 码 “01”
(收 稿 日 期:2006.12.17)(修 稿 日 期:2007.1.15)
图 6 解调程序仿真结果
4 结束语
多进制数字调制技术与 FPGA 的结合使得通信系统的性能 得到了迅速的提高。本文基于 FPGA 实现了 QPSK 调 制 解调 电 路, 该设计对其它类型的调制解调电路也有一定的参考作用。
elsif yy="010" then yyy<="10"; - - yy 寄 存 器 “010”对 应
基 带 码 “10”
elsif yy="100" then yyy<="11"; - - yy 寄 存 器 “100”对 应
基 带 码 “11”
- 220 - 360元 / 年 邮局订阅号: 82-946
1 QPSK 简介
QPSK 信号有 00、01、10、11 四种状态。所以, 对输入的二进 制序列, 首先必须分组, 每两位码元一组。然后根据组合情况, 用载波的四种相位表 征 它 们。QPSK 信 号 实 际上 是 两 路正 交 双 边带信号, 可由图 1 所示方法产生。
QPSK 信 号 是 两 个 正 交 的 2PSK 信 号 的 合 成 , 所 以 可 仿 照 2PSK 信 号的 相 平 解调 法 , 用 两 个 正 交 的 相 干 载 波 分 别 检 测 A 和 B 两个分量, 然后还原成串行二进制数字信号, 即可完成 QPSK 信号的解调, 解调过程如图 2 所示。
elsif q=6 then q<=7;f(2)<=' 1' ; f(0)<=' 0' ;
else q<=q+1;

end if;
end if;

end process;

y<=f(0) when yy="11" else f(1) when yy="10" else

f(2) when yy="01" else f(3); - - 根据 yy 寄存器数据, 输出对应的载波
signal f:std_logic_vector(3 downto 0); - - 载波 f
begin
process(clk)
- - 通过对 clk 分频, 得到 4 种相位;并 完成
基带信号的串并转换
begin
if clk' event and clk=' 1' then
if start=' 0' then q<=0;
《现场总线技术应用 200 例》
您的论文得到两院院士关注
else yyy<="00"; end if; end if; end process; end behav; MAX+PLUSII 环境下的仿真结果如图 6 所示。
P LD CP LD FP GA 应 用
mail: lyjsmt@163.com;万频(1963- ),男,广东 工 业 大学 副 教 授,研 究 方向: 计算机测控与网络技术、信息集成。 Biogr aphy:Liu Yongjun(1981- ),male,master.Research orientation: measurement and control of computer and network of technology. (510090 广东工业大学自动化学院)刘永军 万 频 谢 云 通 讯 地 址:(510090 广 州 市 东 风 东 路 729 号 自 动 化 学 院 1#404) 刘永军
串转换即可得到解调后的基带信号, 调制框图如图 5 所示。
3.2 解调电路 VHDL 程序及仿真结果
entity demodulation is
port(clk :in std_logic;
- - 系统时钟
start :in std_logic;
- - 同步信号
x :in std_logic;
- - 调制信号
邮局订阅号: 82-946 360 元 / 年 - 219 -
P LD CP LD FP GA 应 用
中 文 核 心 期 刊 《 微 计 算 机 信 息 》( 嵌 入 式 与 S OC )2007 年 第 23 卷 第 3-2 期
x :in std_logic;
- - 基带信号
y :out std_logic);
end if;
பைடு நூலகம்
elsif q=2 then q<=3;
if x=' 0' then xx<=xx+"001"; - - 调制信号 x 为低电平
时, 送入加法器的数据“001”
end if;
elsif q=4 then q<=5; y<=yyy(1);
if x=' 0' then xx<=xx+"010"; - - 调 制 信 号 x 为 低 电 平

调 制(M 进 制).多 进 制 数字 调 制 与二 进 制 相 比 , 其 频 谱 利 用 率 更
高.其 中 QPSK(即 4PSK)是 MPSK(多 进 制 相 移 键 控)中 应 用 最 广
泛的一种调制方式。本文研究了基于 FPGA 的 QPSK 调 制 解调
电路的实现方法, 并给出了 MAX+PLUSII 环境下的仿真结果。
elsif q=0 then q<=1;f(3)<=' 1' ; f(1)<=' 0' ; xx(1)<=x;yy<=xx;
elsif q=2 then q<=3;f(2)<=' 0' ; f(0)<=' 1' ;
elsif q=4 then q<=5;f(3)<=' 0' ; f(1)<=' 1' ; xx(0)<=x;
y :out std_logic);
- - 基带信号
end demodulation;
architecture behav of demodulation is
signal q:integer range 0 to 7;
- - 计数器
signal xx:std_logic_vector(2 downto 0); - - 加法器
end behav;
MAX+PLUSII 环境下的仿真结果如图 4 所示。
图 4 调制程序仿真结果
3 QPSK 解 调 电 路 的 FPGA 实 现 及 仿真
3.1 QPSK 解调电路方框图
图 5 QPSK 解调电路方框图 当调制为低电平时, 译码器 1 根据记数器输出值, 送入加 法器相应的数据。加法器把运算结果送到寄存器, 译码器 2 根 据寄存器数据通过译码, 输出两位并行信号,该信号再通过并/
图 2 QPSK 信号解调原理图
2 QPSK 调 制 电 路 的 FPGA 实 现 及 仿真
2.1 基于 FPGA 的 QPSK 调制电路方框图 基带信号通过串/并转换器得到 2 位并行信号, , 四选一开 关根据该数据, 选择载波对应的相位进行输出, 即得到调制信 号, 调制框图如图 3 所示。

杨大柱:硕士
图 1 QPSK 信号调制原理图
《P LC 技术应用 200 例》
图 3 QPSK 调制电路方框图
2.2 调制电路 VHDL 程序及仿真结果
entity modulation is
port(clk :in std_logic;
- - 系统时钟
start :in std_logic;
- - 开始调制信号
- - 调制信号
end modulation;
architecture behav of modulation is
signal q:integer range 0 to 7;
- - 计数器
signal xx:std_logic_vector(1 downto 0); - - 中间寄存器
signal yy:std_logic_vector(1 downto 0); - - 2 位并行码寄存器
Abstr act:Technology of digital modulation and demodulation plays a important role in digital communication system, the combination
of digital communication technology and FPGA is a certainly trend .The paper introduces the principle of QPSK modulation and de-
if start=' 0' then q<=0;
elsif q=0 then q<=1;yy<=xx; y<=yyy(0);
-- 把加法计
数器的数据送入 yy 寄存器
if x=' 0' then xx<="001";
- - 调制信号 x 为低电平
时, 送入加法器的数据“001”
else xx<="000";
signal yyy:std_logic_vector(1 downto 0); - - 2 位并 行 基 代 信
号寄存器
signal yy:std_logic_vector(2 downto 0); - - 寄存 xx 数据
begin
process(clk)
begin
if clk' event and clk=' 1' then
(蚌埠中国人民解放军汽车管理学院)杨 大 柱
YANG DAZHU
摘要: 数 字 调 制 解 调 技 术 在 数 字 通 信 中 占 有 非 常 重 要 的 地 位 , 数 字 通 信 技 术 与 FPGA 的 结 合 是 现 代 通 信 系 统 发 展 的 一 个 必 然 趋 势 。文 中 介 绍 了 QPSK 调 制 解 调 的 原 理 , 并 基 于 FPGA 实 现 了 QPSK 调 制 解 调 电 路 。MAX+PLUSII 环 境 下 的 仿 真 结 果 表 明 了该设计的正确性。 关 键 词 : Q P SK ; F P G A; 调 制 ; 解 调 中图分类号:TN919 文献标识码:A
相关文档
最新文档