基于vhdl的汽车尾灯控制器的设计

合集下载

VHDL汽车尾灯控制器实验报告

VHDL汽车尾灯控制器实验报告

可编程逻辑器件应用项目报告书项目名称:汽车尾灯控制器指导老师:姓名:学号:班级:(以后写报告要包含以下一些内容:)一、设计要求--------------------------------------------------------------------------------二、设计目的--------------------------------------------------------------------------------三、设计方案--------------------------------------------------------------------------------四、设计程序---------------------------------------------------------------------------------五、管脚分配---------------------------------------------------------------------------------六、硬件下载实现现象描述------------------------------------------七、体会、对设计工作的总结与展-------------------------------------------一、设计要求:假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:a)汽车正常行驶时指示灯都不亮。

b)汽车右转弯时,右侧的一盏指示灯亮。

c)汽车左转弯时,左侧的一盏指示灯亮。

d)汽车刹车时,左右两侧的一盏指示灯同时亮。

e)汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。

二、设计方案:(1)、通过使能端分别对彩灯进行控制,从而实现设计要求三、程序语言:----(1)汽车正常行驶时指示灯都不亮。

----(2)汽车右转弯时,右侧的一盏指示灯亮。

基于VHDL的汽车尾灯控制电路设计

基于VHDL的汽车尾灯控制电路设计

基于VHDL的汽车尾灯控制电路设计作者:于明军,郭跃,郝甜甜,等来源:《赤峰学院学报·自然科学版》 2015年第8期于明军,郭跃,郝甜甜,何瑞强(赤峰学院物理与电子信息工程学院,内蒙古赤峰 024000)摘要:本设计利用硬件描述语言(VHDL)对汽车尾灯控制电路进行描述并通过Altera公司Quartus II软件对源程序进行编译、仿真,调试后的程序下载到FPGA开发板FA280上,通过实验板上的发光二极管模拟汽车尾灯的工作状态.可以完成汽车行驶状态、左转状态、右转状态、刹车状态时的尾灯动态显示功能.关键词:EDA;VHDL;汽车尾灯控制电路中图分类号:TN79文献标识码:A文章编号:1673-260X(2015)04-0058-031 实验开发板及VHDL介绍本设计用到的实验板是FPGA开发板FA280,FA280板载有SDRAM,FLASH以及USB Blaster下载线电路,这里主要介绍关于HDL的程序开发.利用QuartusⅡ集成开发环境来完成前期设计,以FPGA实验开发板为运行载体,来完成VHDL、Verilog HDL等一些硬件语言的运行显示.本文应用FPGA开发板FA280,其核心器件为Altera CycloneⅡ系列的EP2C8Q208,是包含192个引脚,其中I/O口有183个的,最新采用逻辑单元为单位来衡量内部资源的芯片.EP2C8的工作电压为1.2V,是运用Verilog硬件描述语言来实现对EEPROM进行读写操作.EPCS4为EP2C8的配置芯片,其成本低、外形封装小、灵活性高.接口包括:PS2接口、VGA接口、RS232串口、USB下载接口.开发板具有USB Blaster下载线电路,插上USB线即可进行下载,通过拨动USB接口开关选择JTAG或AS下载方式.显示设备包括6位7段红光数码管,8位绿色LED发光二极管,LCD1602和LCD12864显示屏接口插座.复位电路由一个复位按键和一个复位芯片组成的,可以将电路恢复到起始状态(相当于清零按钮).复位芯片为MAX811R,可将输出脉冲宽度为140ms、门限为2.63V的低电平有效复位脉冲信号提供给FA280.电源部分包括1117-3.3和1117-1.2各一片,从功能板分别引入3.3V和1.2V的直流电源作为EP2C8的核心电源电压,并在核心板上加入滤波电容,以确保芯片和电源系统的稳定性.其他还包括一个蜂鸣器、4位独立按键、电源插座和开关、SD卡座、扩展总线(GPIO)和有源晶振.其外观如图1所示.VHDL高级硬件描述语言已成为IEEE标准,VHDL的描述能力最强,因此运用此结构进行复杂电路设计时,常采用“自顶向下”的结构化设计方法.适用于行为级和RTL级的描述,最适于描述电路行为,并在设计时可以不了解电路的结构细节.VHDL主要用于描述数字系统的结构、行为、功能和接口,其设计的基本点是将设计实体分成内、外部分.适用于电路高级建模,综合的效率和效果较好.而且采用的模块化、逐步细化的设计方法能够及早的发现各子系统及模块中的错误,而且有利于系统的分工合作,这就提高了系统设计的效率,特别适用于可编程逻辑器件的应用设计.完整的VHDL程序要包含库、程序包、实体、构造体和配置等部分,它的描述语句和常用语句都有其固定的格式,并且有自己的语法,不允许不同类型的数据在表达式中自由组合.QuartusⅡ作为一个综合性的开发平台,可以完成多种功能的实现.QuartusⅡ的设计流程:首先输入HDL等形式的设计文本,接着根据设计要求进行编译,然后进行设计校验(仿真和定时分析),最后进行编程与验证(将编程文件配置到PLD中).设计过程中,如果出现错误,则回到设计输入阶段改错,然后重复以上步骤.设计输入:设计输入可以用HDL方式或原理图录入方式.一般可以使用原理图来设计最顶层,将整个设计的结构描述清楚,对于HDL方式的设计输入,可以采用Verilog和VHDL,我们这里采用VHDL语言来实现.综合:综合工具将设计转换成包含逻辑单元和逻辑单元间连接的网络表.功能仿真:对已经综合的电路的功能进行验证,功能仿真不涉及任何时序方面的内容.配置:将综合产生的网络表中的逻辑单元映射到CPLD/FPGA器件中的LE,这里还包含器件中LE间连接和路由的选择.时序分析和时序仿真:通过分析配置后的电路各个路径上的传输延时,获得电路的性能情况.这里的时序仿真将结合器件时间参数,与前面的功能仿真不同,功能仿真只关注源程序的逻辑是否正确.编程:在CPLD/FPGA器件内实现设计,包括逻辑单元和逻辑单元间的连接.2 功能设计及分析对该汽车尾灯控制器的具体要求:(一)汽车左右两侧各有3只LED指示灯;(二)当汽车正常向前行驶时,6只LED指示灯为全部熄灭;(三)当汽车向左转弯时,左侧的LED指示灯循序从右到左闪亮,右侧的LED指示灯全部熄灭;(四)当汽车向右转弯时,右侧的LED指示灯循序从左到右闪亮,左侧的LED指示灯全部熄灭;(五)刹车时,6只LED指示灯全部点亮.FA280开发板硬件具有8位LED,为低电平驱动方式,即低电平点亮高电平熄灭.本设计中实现以提供低电平方式点亮8个LED中的某一个或多个,两次点亮的时间间隔为1s.通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号bleft,控制汽车左侧3盏指示灯LeftLight0、LeftLight1、LeftLight2,汽车右转弯控制信号bright,汽车右侧3盏指示灯RightLight0、RightLight1、RightLight2实现以上功能.根据以上功能分析、汽车尾灯控制逻辑功能表可以编写汽车尾灯控制电路的VHDL程序.3 程序调试及下载3.1 程序编译(1)建立工作库目录,以便设计工程项目的存储,打开Quartus II 9.0软件.(2)在工具栏中选择“新建”按钮,选择“Text Editor”以进行文本输入.(3)在文本输入界面内进行程序输入.(4)输入完毕后,需要对程序进行保存.文件名和实体定义的符号必须保持一致,即文件名为carlight.因为是使用VHDL语言,所以文件文件类型为.vhd.(5)在菜单栏下拉按钮里选择“Start Processing”,对程序进行编译,此时,Quartus II 9.0sp2软件会对程序进行纠错等处理.(6)在编译完成以后,打开程序,选择“File”→“Edit Symbol”生成设计模块图.3.2 程序仿真及下载(1)编译完全通过后,新建波形编辑器进行设计仿真.通过“新建”按钮,选择“Waveform Editor”.(2)新建波形编辑器后,对文件进行保存.同样是使用文件名carlight,后缀改为scf.(3)在编辑器的Name栏点击鼠标右键,选择“Insert Node or bus…”,点击“Node finder”选中所有观察的节点信号.(4)通过编辑器左侧的快捷键对所加节点中的输入信号进行相关的赋值,然后对程序进行仿真,观察输出信号.对编辑器(Edit)里面的赋值(Value)中的Clock进行设置.(5)在进行仿真前,还需对仿真截止时间和最小单位时间进行一下调整.在“Edit”栏对截止时间“End Time”设置为“10.0us”.(6)得初始仿真图,如图2所示:(7)当汽车正常行驶时,左转信号bleft和右转信号bright都为0,所有的灯都熄灭,都为1,仿真图如图3,将程序写入开发板,显示如图4:(8)当汽车向左转时,左转信号bleft为1,右转信号bright为0,此时右侧灯rightlight2、rightlight1、rightlight0全灭为111,而左侧灯leftright0、leftright1、leftlight2依次亮灭,状态为为110、101、011.仿真图如图5,将程序写入开发板,显示如图6:(9)当汽车向右转时,左转信号bleft为0,右转信号bright为1,此时左侧灯leftright0、leftright1、leftlight2全灭为111,而右侧灯rightlight2、rightlight1、rightlight0依次亮灭,状态为110、101、011.仿真图如图7,将程序写入开发板,显示如图8:(10)当汽车刹车时,左转信号bleft为1,右转信号bright也为1,此时左边灯leftright0、leftright1、leftlight2全亮为000,右边灯rightlight0、rightlight1、rightlight2全亮为000.仿真图如图9,将程序写入开发板,显示如图10:4 结语本设计依靠EDA教学开发板,QuartusⅡ软件环境,运用原理图和硬件描述语言进行输入并进行编译、仿真验证设计的正确性.对开发板的学习有一定的指导作用.参考文献:(1)廖超平.EDA技术与VHDL实用教程[M].北京:高等教育出版社,2007.(2)闫石.数字电子技术基础[M].北京:高等教育出版社,2006.(3)华成英.模拟电子技术基础[M].北京:高等教育出版社,2006.(4)侯伯亨.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2009.(5)潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版社,2006.。

VHDL 汽车尾灯报告

VHDL 汽车尾灯报告

实验报告实验项目名称:汽车尾灯的设计一、实验目的掌握VHDL程序设计方法二、实验内容和要求共6个尾灯,汽车正常行驶时,6个灯全灭;xx时,左边3个灯xx依次亮灭;右转时,右边3个灯从左到右依次亮灭;刹车时,车灯全亮;故障时,全部闪烁。

在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、设计思想首先设置5种状态,即正常行驶“000”,左转“001”,右转“010”,刹车“011”,故障“100”,这里用CONTROL的三位二进制来表示汽车的行驶状态。

然后6个二极管和5个状态一一对应,并依据引入的时钟信号在上升沿发光二极管发生响应并循环,直到改变状态。

这里用LAMP6S表示6个发光二极管的状态。

四、源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CAR ISPORT(CLK:IN STD_LOGIC;--normaldriving:000, left:001, right:010, brake:011, breakdown:100CONTROL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);LAMP6S:BUFFER STD_LOGIC_VECTOR(5 DOWNTO 0):="000");END;ARCHITECTURE one OF CAR ISSIGNAL LK:STD_LOGIC:='1';SIGNAL CONTORL:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINPROCESS(CLK,CONTROL)BEGINIF CLK'EVENT AND CLK='1' THENCASE CONTROL ISWHEN "000"=>LAMP6S<="000";--normaldrivingWHEN "001"=> CASE LAMP6S ISWHEN "000"=>LAMP6S<="001000";WHEN "001000"=>LAMP6S<="0100";WHEN "0100"=>LAMP6S<="1000";WHEN OTHERS=>LAMP6S<="001000";END CASE;WHEN "010"=> CASE LAMP6S ISWHEN "000"=>LAMP6S<="000100";WHEN "000100"=>LAMP6S<="0010";WHEN "0010"=>LAMP6S<="0001";WHEN OTHERS=>LAMP6S<="000100";END CASE;WHEN "011"=>LAMP6S<="111";--brakeWHEN "100" =>LK<=NOT(LK);IF LK='1' THEN LAMP6S<="000"; ELSE LAMP6S<="111";END IF;WHEN OTHERS=>NULL;END CASE;END IF;END PROCESS;END;仿真波形如下:其中LAMP6S中的345是左转灯,左转时(CONTROL为001),左边3个灯从右到左依次亮灭,而右边灯全灭;012是右转灯,右转时(CONTROL为010),右边3个灯从左到右依次亮灭,而左边灯全灭;刹车时(CONTROL为011),车灯全亮;故障时(CONTROL为100),全部闪烁。

实验四:汽车尾灯控制器设计(新) 高盛东

实验四:汽车尾灯控制器设计(新) 高盛东

若出现报错,根据提 示,排查错误
创建用户自己的元件符号
将自己的设计描述生成符号, 供原理图方式调用
在图示位置点击右键,然后 在弹出菜单中选择“Creat Symbol File for Current File”
六、实验步骤
4. 新建顶层原理图文件,将生成的2-4译码器符号调入
10
六、实验步骤
六、实验步骤
7. 保存原理图,将其置顶 后再次编译。 编译通过后,进行波形时 序仿真,分析仿真结果。
仿真方法参见实验3.
六、实验步骤
8. 引脚锁定
引脚锁定方法:
在菜单下依次选择Assignments/Pin
双击编辑窗口对应引脚的Location,根据端口 名选择引脚号 时钟信号使用2Hz(Pin48), LED灯和左右转开关信号相应的引脚号已标注 在实验板上
5. 然后添加LPM宏模块,调入计数器模块,模值设为4
点击OK后,类型选VHDL, 命名并保存
11
输出为两位,即为模4计数器
六、实验步骤
6. 添加外围逻辑门及输入、输出端口,连线完成逻辑图
上图中,lpm_counter0、 lpm_counter1为模4的LPM 计数器模块,为decode2_4译码器产生地址信号。 译码器的normal为使能端,高电平有效,低电平时输出 Y[0..3]为全为0。
计数器用来控制LED的状态转移; 分频器用来产生低频连续脉冲信号;
实验板上已有低频脉冲信号(1|2|4|8Hz)
译码器用来产生LED的显示状态
六、实验步骤
1. 通过QuartusII建立一个新工 程(不能建在根目录下);
工程名命名格式约定如下:姓 名首字母+学号 如张三,学号为2011123001, 则命名为: zs2011123001

基于VHDL语言的汽车尾灯控制器

基于VHDL语言的汽车尾灯控制器
通常需要对多种输入组合进行仿真,如本例中有4种状态。可以一次性在仿真测试平台中添加(用 wait for语句分段),一次性仿真。也可以每次只仿真一种状态,多次修改测试平台文件,多次仿真。
注意:创建VHDL测试平台需要VHDL语言基础,不可出现语法错误。每次对原理图进行修改保存后,建议重新从原理图创建VHDL程序和创建VHDL测试平台。
2.添加约束文件。选择:项目〉配置管理(Project\Configuration Manager),弹出对话框。选择:“增加”配置后并命名;然后选择:“增加”约束文件后选择约束文件Fpga/NB1 Constraint Files/Xilinx FPGA/ NB1_6_XC3S1000-FG456. Constraint。记得勾选右上角的小方框,并确定。系统将自动在项目中生成子文件夹“Settings”,并将选择的约束文件包含在其中。
2,添加原理图。选择:文件〉新〉原理图(File\New\Schematic),重命名并保存。左键点中原理图,键盘上PageUp,PageDown可调整视图大小。选择:设计〉文档选项〉标准样式(Design〉Document Options〉Sheet Options)可调整图纸尺寸大小。
3,放置元件。
2.创建VHDL测试平台。将上述创建的VHDL文件打开,使其处于当前窗口,选择:设计〉创建VHDL测试平台。系统自动创建一个VHDTST类型的测试平台文件。
3.填写有关的程序。上述系统自动创建的测试平台文件是一个不完整的文件,需要在STIMULUS0:process中添加输入信号,然后保存。
三.下载实验。
在仿真结果正确以后,可以准备下载到实验开发板NanoBoard上运行。下载之前需要了解NanoBoard,可以参考NanoBoard附带的说明书和相关资料。

汽车尾灯课程设计VHDLEDA

汽车尾灯课程设计VHDLEDA

个人资料整理仅限学习使用目录1.引言 11.1 设计的目的 11.2 设计的基本内容11.3 EDA 的介绍 11.3.1 EDA 技术的概念 11.3.2 EDA 技术的特点 21.3.3 EDA 设计流程 21.4 硬件描述语言 <VHDL) 21.4.1 VHDL 的介绍 21.4.2 VHDL 语言的特点 32.总体设计 42.1 需求分析 42.2 汽车尾灯控制器的工作原理42.3汽车运行状态表和总体框图53.详细设计 63.1 各组成模块 63.2 时钟分频模块 63.3汽车尾灯主控模块63.4 左边灯控制模块73.5 右边灯控制模块94.系统仿真与调试 104.1 分频模块仿真及分析104.2 汽车尾灯主控模块仿真及分析104.3 左边灯控制模块仿真及分析114.4 右边灯控制模块仿真及分析114.5 整个系统仿真及分析124.6总体设计电路图12总结 13参考文献 14个人资料整理仅限学习使用1.引言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

在本课程设计根据状态机原理[1] 实现了汽车尾灯常用控制。

b5E2RGbCAP1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA 技术[2]并掌握 VHDL硬件描述语言的设计方法和思想。

以计算机组成原理为指导,通过学习的 VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高 IC 设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

p1EanqFDPw1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用 EDA 工具对各模块进行仿真验证。

2023年汽车尾灯控制器的设计EDA大作业

2023年汽车尾灯控制器的设计EDA大作业

EDA技术课程大作业设计题目:汽车尾灯控制器旳设计学生姓名:学号:专业班级:2023年6月2日汽车尾灯控制器旳设计1. 设计背景和设计方案1.1 设计背景伴随社会旳发展,科学技术也在不停旳进步,状态机旳应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种状况下汽车尾灯控制器旳设计成为处理交通安全问题一种好旳途径。

伴伴随集成电路和计算机技术旳飞速发展,EDA技术应运而生,它是一种高级、迅速、有效旳电子设计自动化技术。

EDA将大量旳电路功能集成到一种芯片中,并且可以由顾客自行设计逻辑功能,提高了系统旳集成度和可靠性。

运用EDA技术可以以便、快捷设计电路系统。

本次设计就是运用EDA技术,根据状态机原理实现了汽车尾灯常用控制。

1.2 设计方案1.2.1 系统设计规定根据现代交通规则,汽车尾灯控制器应满足如下基本规定:(1). 汽车正常使用是指示灯不亮(2). 汽车右转时,右侧旳一盏灯亮(3). 汽车左转时,左侧旳一盏灯亮(4). 汽车刹车时,左右两侧旳指示灯同步亮(5). 汽车夜间行驶时,左右两侧旳指示灯同步一直亮,供照明使用1.2.2 系统构成及原理图汽车尾灯控制器就是一种状态机旳实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧旳指示灯RD1亮;当汽车向左侧转弯时,汽车左侧旳指示灯LD1亮;当汽车刹车时,汽车右侧旳指示灯RD2和汽车左侧旳指示灯LD2同步亮;当汽车在夜间行驶时,汽车右侧旳指示灯RD3和汽车左侧旳指示灯LD3同步一直亮。

通过设置系统旳输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT 和系统旳输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指RD1、RD2、RD3实现以上功能。

系统旳整体组装设计原理如图1所示。

图1 系统旳整体组装设计原理2.方案实行汽车尾灯控制器有4个模块构成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,如下简介各模块旳详细设计。

汽车车灯控制系统的VHDL语言实现

汽车车灯控制系统的VHDL语言实现

当前数字电路系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。

利用大规模可编程逻辑器件CPLD(Complex Programmable Logic Device)进行ASIC设计,可以直接面向用户需求,根据对系统的功能要求自上而下地逐层完成相应的描述、综合、优化、仿真与验证,直到生成元器件。

目前,系统级的仿真工具也已出现.这样可以大大地缩短系统的设计周期,增加可靠性,提高产品竞争能力。

采用Altera和Xilinx公司的可编程逻辑器件,并配以开发软件可在计算机上进行各种电路设计和修改,并可对电路特性进行仿真模拟,最后将设方案下载到该器件中,这样可实现高度集成和精确的电路设计,降低了设计成本,提高了设计效率和电路的可靠性。

本文通过一个汽车车灯控制系统的设计实践,介绍了VHDL语言的具体设计应用。

1 系统功能及要求汽车上有一转弯控制杆,此杆有三种状态:中间位置时汽车不转弯,向上位置时汽车左转,向下位置时汽车右转。

汽车转弯时相应的尾灯和头灯均闪烁,当应急开关合上时,头灯尾灯均闪烁。

汽车刹车时,2个尾灯发出一直亮的信号。

如果汽车刹车时正在转弯,则相应的转弯闪烁信号不受影响。

2 逻缉抽象由要求转换成真值表注:断-灯不亮;闪-灯闪烁;通-灯一直亮3 由真值表得出逻辑表达式E(断)=(C and D)or((not B)and(not C));E(闪)=(B and(not C))Or(C and(not D));E(通)=0;F(断)=(C and D)OR((not B)and(not D));F(闪)=((not C)and D)Or(B and(not D));F(通)=0;G(断)=(C and D)or((not A)and(not B)and(not C));G(闪)=(C and(not D))or((not A)and B and(not C));G(通)=A and(not C);H(断)=(C and D)or((not A)and(not B)and(not D));H(闪)= not C)and D)or((not A)and B and(not D));H(通)=A and(not D);4 VHDL语言实现(源程序注释)代码1.library IEEE --定义IEEE库,使用std_logic_1164和std_logic_unsignede IEEE.std_logic_1164.all; --包集合e IEEE.std_logic_unsigned.all;4.entity autolight is --定义实体autolight5.port(A.in STD_LOGIC;6.C:in STD_LOGIC;7.B:in STD_LOGIC;8.D:in STD_LOGIC;9.E:out STD_LOGIC;10.F:out STD_LOGIC;11.G:out STD_LOGIC;12.H:out STD_ LOGIC);13.--说明:定义器件的输入输出口字母用大写。

汽车尾灯控制

汽车尾灯控制

课题名称:VHDL语言与EDA课题设计设计题目:汽车尾灯控制器系别:物电学院08级5班专业:电子信息工程学院组员姓名:李川江(0803050xx)罗扬(080305026)蒋永坚(0803050xx)指导老师:甘德成摘要:EDA技术是以大规模可编程逻辑器件为记载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方法实际电子系统到硬件系统的一门新技术。

此次课程设计接近现实应用,将我们在课堂上的理论知识运用到实际生活当中。

在设计的过程中,需要我们对理论知识理解透彻,对所需的各个电子元件熟悉了解以及具有化繁为简的总体设计思想。

因此,越简单的设计越能吸引制造商的眼球,越能满足生活要求。

汽车的尾灯是其运行方式的最直接表示方式,令行人或其他车辆清晰明白它将要发生的动态变化。

从而避免交通事故的发生。

设计此电路要求严格符合交通规则,尾灯闪亮或熄灭准确,才不会让行人或其他车辆产生误解。

关键词:EDA技术;设计思想;尾灯目录一.引言1.1设计目的 (1)1.2设计的基本内容 (1)1.3开发工具 (1)二.总体设计2.1设计要求 (2)2.2汽车尾灯控制器的工作原理 (2)三.单元模块设计3.1各组成模块 (3)3.2汽车主控制模块 (3)3.2左灯控制模块 (5)3.4右灯控制模块 (7)四.系统仿真与调试4.1汽车主控制模块仿真及分析 (9)4.2左灯控制模块仿真及分析 (9)4.3右灯控制模块仿真及分析 (9)4.5上机实验操作结果 (10)五.总结参考文献 (12)一.引言汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展的智能控制。

汽车尾灯控制器是随着汽车智能化技术的发展而迅速发展起来的。

汽车尾灯一般是用基于微处理器的硬件电路构成,正因为硬件电路的局限性,不能随意地更改电路的功能和特性,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展。

基于FPGA的汽车尾灯控制电路

基于FPGA的汽车尾灯控制电路

基于FPGA的汽车尾灯控制电路可编程课程设计报告1.设计题⽬汽车尾灯控制电路2.设计⽬的本次设计的⽬的就是通过实践深⼊理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语⾔的设计⽅法和思想。

以计算机组成原理为指导,通过学习的VHDL语⾔结合电⼦电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应⽤。

通过对实⽤汽车尾灯控制器的设计,巩固和综合运⽤所学知识,提⾼分析、解决计算机技术实际问题的独⽴⼯作能⼒。

3.设计内容3.1 EDA的介绍3.1.1 EDA技术的概念EDA是电⼦设计⾃动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助⼯程(CAE)的概念发展⽽来的。

EDA技术就是以计算机为⼯具,设计者在EDA软件平台上,⽤硬件描述语⾔HDL完成设计⽂件,然后由计算机⾃动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直⾄对于特定⽬标芯⽚的适配编译、逻辑映射和编程下载等⼯作。

3.1.2 EDA技术的特点利⽤EDA技术进⾏电⼦系统的设计,具有以下⼏个特点:①⽤软件的⽅式设计硬件;②⽤软件⽅式设计的系统到硬件系统的转换是由有关的开发软件⾃动完成的;③设计过程中可⽤有关软件进⾏各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在⼀个芯⽚上,体积⼩、功耗低、可靠性⾼。

因此,EDA技术是现代电⼦设计的发展趋势。

3.1.3 EDA设计流程典型的EDA设计流程如下:1、⽂本/原理图编辑与修改。

⾸先利⽤EDA⼯具的⽂本或图形编辑器将设计者的设计意图⽤⽂本或图形⽅式表达出来。

2、编译。

完成设计描述后即可通过编译器进⾏排错编译,变成特定的⽂本格式,为下⼀步的综合做准备。

3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

4、⾏为仿真和功能仿真。

毕业设计---基于可编程逻辑器件的汽车尾灯控制器的设计[管理资料]

毕业设计---基于可编程逻辑器件的汽车尾灯控制器的设计[管理资料]

毕业设计报告基于可编程逻辑器件的汽车尾灯控制器的设计目录目录 (I)第一章选题及可行性分析 (1)汽车电子行业的现状和发展前景 (1)EDA行业的发展 (2)第二章汽车尾灯电路设计思路 (3)顶层系统方案构思 (3)控制器设计 (3)第三章汽车尾灯电路设计 (6)VHDL语言的简介 (6)Max+plusⅡ的简介 (6)汽车尾灯控制器的VHDL程序实现 (6)第四章汽车尾灯电路的功能仿真 (9)第五章课题总结 (12)致谢 (13)参考文献 (14)第一章选题及可行性分析1.1汽车电子行业的现状和发展前景在2007年,我国汽车销量创下历史新高,达700万辆,增幅超过20%。

这一年,中国市场上销售的汽车品牌达300多款,车型近千个,成为世界第二大汽车消费市场。

更重要的是,汽车制造业还并不是一个独立的行业,它的发展不仅对其原材料的供应,如钢铁、橡胶塑料、玻璃、机械等的发展有着巨大的推动作用,还对后服务市场,如石油化工、汽车维修、汽车金融保险、汽车电子等相关配套行业的发展也起到拉动作用。

可以说,快速膨胀的中国汽车工业,正为缔造一批围绕着汽车产业链而发展的优秀企业提供了广阔的平台和足够的机遇。

而在这其中表现最为突出就就是汽车电子行业。

汽车电子市场潜力诱人,汽车产业的快速发展必将带动汽车电子产品的蓬勃发展。

2001年至2007年全球汽车电子市场规模持续增长,预计2008年全球汽车电子市场规模将达到1410亿美元。

与全球汽车电子市场快速稳定增长相比,中国汽车电子市场更是如火如荼。

2001年至2007年,中国汽车电子市场年均增长率达到40%以上,。

,%,预计2008到2011年复合增长率将超过20%,2011年销售额将达到2400亿元的规模。

可以说,随着中汽车产业的快速发展,与汽车消费配套的汽车电子市场已成为一个庞大的、持续高速发展的“黄金”市场。

但是我国汽车电子产业目前正处于起步阶段,全国汽车电子企业约1000家,绝大部分企业规模小,基础薄弱,缺乏技术积累,缺少核心技术,技术标准也相对落后,产品缺乏竞争力。

《EDA技术应用》课程设计报告-汽车尾灯控制电路

《EDA技术应用》课程设计报告-汽车尾灯控制电路

1引言 (1)1.1设计的目的 (1)1.2设计的基本内容 (1)2 EDA、VHDL简介 (1)2.1EDA技术 (1)2.2硬件描述语言(VHDL) (2)3汽车尾灯控制器的设计过程 (3)3.1系统需求分析 (3)3.2汽车尾灯控制器的工作原理 (3)3.3各组成模块原理及程序 (4)4系统仿真 (9)4.1分频模块仿真及分析 (9)4.2汽车尾灯主控模块仿真及分析 (10)4.3左边灯控制模块仿真及分析 (11)4.4右边灯控制模块仿真及分析 (12)4.5整个系统仿真及分析 (13)结束语 (15)指导老师意见 (16)参考书目 (16)1引言随着人们生活水平的提高,汽车的消费量越来越大。

因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。

为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。

我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。

这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。

将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。

真正的让消费者驾驶汽车的方便和安全。

1.1 设计的目的其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。

改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。

其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。

1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

基于VHDL汽车尾灯控制电路的设计

基于VHDL汽车尾灯控制电路的设计

基于VHDL汽车尾灯控制电路的设计摘要:现在我们正处在21世纪,也是高科技时代,更是电子信息的时代。

EDA 技术不断的深入和扩展。

尤其在电子信息,各行业的通信方面,自动控制方面,以及计算机等方面的重要性越来越起到举足轻重的地位。

本设计是基于EDA技术中的VHDL所开发的新型尾灯控制器,实验调试平台软件是QuartusII,并对控制器进行了编译,功能仿真并验证正确。

它的体积小,功耗低,低成本,安全可靠,能够实现汽车正常行驶,左转,右转,刹车时的尾灯相应的明灭功能。

本设计升级与改进极为方便,对更高层的汽车尾灯控制设计具有一定的拓展性。

实验结果表示,这样的系统没有传统的系统设计中的串线的问题,硬件的功能也可以像软件一样通过编程来修改,非常有可靠性,体积小,极大的提高了电子系统的设计的灵活性和通用性。

本设计实现的主要功能是:当汽车左转时,左边的三个LED灯从右向左依次亮灭;当汽车右转时,右边的三个LED灯从左向右依次亮灭;当汽车刹车时,六个LED灯同时亮灭。

关键词:VHDL; 汽车尾灯;QuartusⅡThe design of the automobile taillight control circuiton VHDL languageAbstract:Now we are in the 21st century, which is also the high-tech era, but also the era of electronic information. EDA technology continues to in-depth and extension. Especially in electronic information, various industries of communications, automatic control, and computer and so on .The importance of more and more play a pivotal position. This design is based on the technology of EDA VHDL development of new tail light controller, the experiment platform of commissioning QuartusII sofeware, and the controller compiled, timing simulation and verify correct. Its small size, low power consumption, low cost, safe, reliable, and can make the normal driving, turn left, turn right, the corresponding braking tail lights flicker function. This design upgrade and improvement is extremely convenient , the higher automobile tail light control design has certain expanding. The result of the experiment, which said such a system without the traditional occurrences of problems in system design, the function of the hardware can also be programmatically modify like software, great reliability, small volume, greatly improve the electrical system design flexibility and versatility.The main function is designed and implemented: When the car turn left, the left three LED lights in turn from right to left out; When the car turn right, the right of the three LED lights from left to right in turn bright; when the car brakes, six and bright LED lightskey words:VHDL ; Car taillight; Quartus Ⅱ目录摘要 (1)Abstract (2)第一章引言 (1)1.1 设计的背景 (1)1.2设计的目的 (1)1.3课题的内容 (3)1.3.1设计的主要内容 (3)1.3.2 工作原理 (4)第二章电路及各模块介绍 (5)2.1总体电路原理框图 (5)2.2主要模块介绍 (6)2.2.1时钟分频模块 (6)2.2.2汽车尾灯主控模块 (6)2.2.3左边灯控制模块 (7)2.2.4右边灯控制模块 (8)第三章硬件描述语言的介绍 (10)3.1 VHDL语言的特点 (10)3.2VHDL结构 (10)第四章软件程序 (13)4.1 Quartus 主要符号介绍 (13)4.2总体流程图 (16)第五章系统仿真 (18)第六章总结 (20)参考文献 (21)致谢 (21)附录 1 (22)附录 2 (25)第一章引言1.1 设计的背景随科学技术的快速发展,电子行业经历了大跃进。

汽车尾灯控制器的设计.EDA课程设计

汽车尾灯控制器的设计.EDA课程设计

MX VZ VZ探2008级学生探EDA课程设计MZ vx vx茨茨茨茨茨茨茨茨茨体I劝城方呼骼EDA课程设计报告书2011年6月10日设计任务及要求:设计一个汽车尾灯控制器,功能及要求如下:(1)汽车正常行驶时,指示灯不亮。

(2)汽车右转时,右侧的指示灯亮。

(3)汽车左转时,左侧的指示灯亮。

(4)汽车刹车时,左右两侧的指示灯同时亮。

(5)汽车在雾中行驶时,左侧的指示灯不断闪烁。

(6)汽车在倒车时,右侧的指示灯不断闪烁。

指导教师签名:__________年月日汽车尾灯控制器的设计谢亨(湖南城市学院物理与电信工程系电子信息工程专业,湖南益阳,41300)1设计目的(1)学会在Quartus H环境中运用VHDL语言设计方法来构建具有一定逻辑功能的模块,并能运用原理图设计方法完成顶层设计。

掌握所学的课程知识和基本单元电路的综合设计应用。

(2)通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高设计能力,并掌握汽车尾灯控制在FPGA中实现的方法。

2设计的主要内容和要求(1)汽车正常行驶时,指示灯不亮。

(2)汽车右转时,右侧的指示灯亮。

(3)汽车左转时,左侧的指示灯亮。

(4)汽车刹车时,左右两侧的指示灯同时亮。

(5)汽车在雾中行驶时,左侧的指示灯不断闪烁。

(6)汽车在倒车时,右侧的指示灯不断闪烁。

3整体设计方案汽车尾灯控制器就是一个状态机的实例。

整体设计方框图如图3. 1所示整个系统山4个模块组成:主控制模块,左侧控制模块,雾、倒车控制模块, 右侧控制模块和显示模块。

其中主控制模块主要包括转向控制、雾中行驶控制和倒车控制,CLK为时钟信号。

左侧控制模块主要包括对左侧转向和刹车指示灯的控制。

右侧控制模块主要包括对右侧转向和刹车指示灯的控制。

雾、倒车控制模块主要包括对雾中行驶指示灯和倒车指示灯的控制。

显示模块为各状态的指示灯。

汽车尾灯控制器丄作过程:当汽车正常行驶时所有指示灯都不壳;汽车右转弯时,汽车右侧的指示灯RD1亮;汽车左转弯时,汽车左侧的指示:灯LD1亮;刹车时,汽车右侧的指示灯RD2和左侧的指示灯LD2同时亮;汽车在雾中行驶时,左侧的指示灯LD3不断闪烁。

基于VHDL的汽车尾灯控制电路的设计

基于VHDL的汽车尾灯控制电路的设计

基于VHDL的汽车尾灯控制电路的设计
鲍宁宁
【期刊名称】《科技信息》
【年(卷),期】2011(000)003
【摘要】为了实现基于VHDL的汽车尾灯电路,采用Quueus Ⅱ开发平台进行逻辑综合和时序仿真,并下栽到EPIK300C208芯片上进行验证,获得了预期的结果.实验结果表明,该系统没有传统设计中的接线问题,硬件功能可以像软件一样通过编程来修改,可靠性高、体积小,极大地提高了电子系统设计的灵活性和通用性.
【总页数】2页(P100-101)
【作者】鲍宁宁
【作者单位】南京航空航天大学金城学院,江苏,南京,211156
【正文语种】中文
【相关文献】
1.基于VHDL语言的汽车尾灯控制器设计 [J], 王冬
2.基于VHDL语言的汽车尾灯控制器设计 [J], 王冬
3.基于VHDL的汽车尾灯设计 [J], 周毅;陈海生
4.基于VHDL的汽车尾灯控制电路的设计 [J], 鲍宁宁
5.基于VHDL的汽车尾灯控制电路设计 [J], 于明军;郭跃;郝甜甜;何瑞强
因版权原因,仅展示原文概要,查看原文内容请购买。

数字电路课程设计-VHDL语言文本输入设计--汽车尾灯控制电路

数字电路课程设计-VHDL语言文本输入设计--汽车尾灯控制电路

数字电路课程设计——VHDL语言文本输入设计汽车尾灯学院:班级:数字电路课程设计:VHDL语言文本输入设计——汽车尾灯控制电路一、需求分析1、功能描述设计一个汽车尾灯控制电路,汽车尾部共有六盏指示灯,左右各三盏,分别为LD1、LD2、LD3,RD1、RD2、RD3。

具体能能如下:1.1汽车正常行驶时,所有指示灯都不亮;1.2汽车左转时L1闪烁;1.3汽车右转时R1闪烁;1.4汽车刹车时L2和R2同时亮;1.5汽车夜间行驶时L3和R3同时亮。

2、实现工具2.1 用VHDL 语言文本形式输入;2.2 使用MAX+plux II 进行语言编写、时序仿真和综合。

二、设计思想采用自顶向下的设计方式,分层进行设计。

设计分为四个模块进行:主控模块、定时器模块、左侧尾灯控制模块和右侧尾灯控制模块。

顶层设计可完全独立于目标器件芯片物理结构的硬件描述语言。

使用VHDL模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。

1、顶层原理框图2、具体功能实现2.1 主控模块图1:顶层原理框图图2:主控模块框图2.2 时钟定时器模块只有LD1和RD1有闪烁功能,因此定时器模块只控制这两个尾灯。

框图如下:图3:定时器模块框图2.3 左转尾灯和右转尾灯功能2.4 刹车功能刹车功能对应的尾灯为LD2和RD2,框图如下:2.5夜间行驶功能夜间行驶功能对应的尾灯为LD3和RD3,框图如下:图5:刹车功能框图图4:转向功能框图图6:夜间行驶功能框图三、设计过程1、建立工程建立个一个Project,命名为weideng。

将各个模块生成的文件放在同一个文件夹下。

2、文本输入将各个模块的VHDL代码输入、保存并综合。

3、仿真建立各个模块的gdf图,设置输入波形并仿真。

4、顶层原理图输入利用各个模块生成的sym文件建立顶层原理图。

编译并仿真。

5、硬件实现实验室提供的器件为ACEX系列,型号为EP1K100QC208—3。

将dingceng.sof 文件下载到器件当中,在实验箱中进行硬件模拟。

基于VHDL语言的汽车尾灯控制电路的设计

基于VHDL语言的汽车尾灯控制电路的设计

基于VHDL语言的汽车尾灯控制电路的设计XXXXX班XXX 学号:XXXXXXX摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。

关键词:VHDL 汽车尾灯控制时钟信号1.尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。

并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。

了解到这几点,就可以对本次设计作较为详尽的解释。

2.模块KONG。

模块KONG如图所示,此为整个程序的控制模块。

程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=‟0‟;Rit<=‟0‟;Lr <=‟0‟;When”10”=>lft<=‟1‟;Rit<=‟0‟;Lr <=‟0‟;When”01”=>rit<=‟1‟;Lft<=‟0‟;Lr <=‟0‟;When other=>rit<=‟1‟;lft<=‟1‟;lr<=‟1‟;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

汽车尾灯控制器的设计摘要本课程设计根据计算机中状态机原理,采用EDA技术设计了简易的汽车尾灯控制器。

系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。

系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。

本文详细地介绍了整个设计流程。

关键字EDA;汽车尾灯控制器;时钟分频目录1引言 (1)1.1 设计的目的 (1)1.2 设计的基本内容 (1)2 EDA、VHDL简介 (1)2.1 EDA技术 (1)2.1.1 EDA技术的概念 (1)2.1.2 EDA技术的特点 (2)2.1.3 EDA设计流程 (2)2.2 硬件描述语言(VHDL) (2)2.2.1 VHDL简介 (2)2.2.2 VHDL语言的特点 (3)3汽车尾灯控制器的设计过程 (3)3.1系统需求分析 (3)3.2汽车尾灯控制器的工作原理 (3)3.3各组成模块原理及程序 (4)3.3.1时钟分频模块 (5)3.3.2 汽车尾灯主控模块 (5)3.3.3左边灯控制模块 (6)3.3.4右边灯控制模块 (6)4系统仿真 (7)4.1分频模块仿真及分析 (7)4.2汽车尾灯主控模块仿真及分析 (8)4.3左边灯控制模块仿真及分析 (8)4.4右边灯控制模块仿真及分析 (9)4.5整个系统仿真及分析 (9)结束语 (11)致谢 (12)参考文献 (13)附录 (14)1引言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。

1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。

以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC 设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2 EDA、VHDL简介2.1 EDA技术2.1.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

因此,EDA技术是现代电子设计的发展趋势。

2.1.3 EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。

首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。

2、编译。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

4、行为仿真和功能仿真。

利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。

5、适配。

利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

6、功能仿真和时序仿真。

7、下载。

如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

8、硬件仿真与测试。

2.2 硬件描述语言(VHDL)2.2.1 VHDL简介VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.2.2 VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。

4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

3汽车尾灯控制器的设计过程3.1系统需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用3.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。

通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。

系统的整体组装设计原理如图3.1所示。

图3.1 系统的整体组装设计原理3.3各组成模块原理及程序汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

3.3.1时钟分频模块整个时钟分频模块的工作框图如图3.2所示。

CLK CP图3.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK = '1'THENCOUNT <= COUNT + 1;END IF;END PROCESS;CP<= COUNT(3);END ART;3.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。

CTRLLEFT LPRIGHT RPBRAKE LRNIGHT BRAKE_LEDNIGHT_LED.图3.3 主控模块工作框图汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL ISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BAKE;PROCESS(LEFT,RIGHT)V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINTEMP:=LEFT & RIGHT;CASE TEMP ISWHEN "00" =>LP<='0';RP<='0';LR<='0';WHEN "01" =>LP<='0';RP<='1';LR<='0';WHEN "10" =>LP<='1';RP<='0';LR<='0';WHEN OTHERS=>LP<='0';RP<='0';LR<='1';END CASE;END PROCESS;END ART;3.3.3左边灯控制模块左边灯控制模块的工作框图如图3.4所示。

LCCLKLP LEDLLR LEDBBRRAKE LEDNNIGHT图3.4左边灯控制模块的工作框图左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC ISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,LP,LR)BEGINIF CLK'EVENT AND CLK = '1' THENIF(LR ='0')THENIF(LP = '0')THENLEDL<='0';ELSELEDL<='1';END IF;ELSELEDL <='0';END IF;END IF;END PROCESS;END ART;3.3.4右边灯控制模块右边灯控制模块的工作框图如图3.5所示。

RCCLKRP LEDRLR LEDBBRRAKE LEDNNIGHT图3.5 右边灯控制模块的工作框图右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF RC ISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,RP,LR)BEGINIF CLK'EVENT AND CLK = '1' THENIF(LR = '0')THENIF(RP = '0')THENLEDR <='0';ELSELEDR <= '1';END IF;ELSELEDR <='0';END IF;END IF;END PROCESS;END ART;4系统仿真4.1分频模块仿真及分析分频模块由VHDL程序实现后,其仿真图如图4.1所示。

相关文档
最新文档