汽车尾灯控制电路设计
汽车尾灯控制电路设计
![汽车尾灯控制电路设计](https://img.taocdn.com/s3/m/6fe86a3b0a4e767f5acfa1c7aa00b52acfc79c82.png)
汽车尾灯控制电路设计
汽车尾灯控制电路是汽车电子系统中非常重要的一部分,它控制装配在汽车后尾部的
尾灯的工作状态,以确保安全行驶。
下面,我们对其电路逻辑做了详细介绍。
汽车尾灯控制电路主要采用了定时器与光敏电阻来检测汽车的尾灯是否正常工作,从
而确保汽车的安全性。
首先,电路的输入部分包括一个定时器,它用来控制该电路的功能。
此外,一个光敏电阻被用来检测夜间汽车是否开启尾灯,以便检测汽车的安全行驶。
此外,还配备有一个按钮开关,用来控制尾灯是否打开。
电路的输出部分主要包括定时器,它负责检测汽车夜间走行时,尾灯是否开启。
当汽
车夜间行驶时,该定时器将开始计时,一旦计时到达指定时间,它将使汽车尾灯开启。
另外,光敏电阻将检测出汽车是否已经熄灭尾灯,一旦检测到尾灯已熄灭,定时器将停止计时,尾灯也将被关闭。
此外,按钮开关也可以控制汽车的尾灯的工作状态。
当汽车在夜间行驶时,拥有尾灯
的按钮可用于手动控制尾灯的工作状态。
总而言之,汽车尾灯控制电路是以定时器,光敏电阻及按钮开关为核心的控制系统。
它可以有效地帮助汽车保持夜间行驶的安全,以期在黑夜行驶的路上,可以有效的显示汽
车和其他车辆的位置,有效保护行人和其他车辆的安全。
汽车尾灯控制电路
![汽车尾灯控制电路](https://img.taocdn.com/s3/m/c2955e5ebb1aa8114431b90d6c85ec3a87c28bc2.png)
汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路
汽车尾灯控制电路设计方案
![汽车尾灯控制电路设计方案](https://img.taocdn.com/s3/m/68d67556336c1eb91a375dbc.png)
汽车尾灯控制电路设计方案1 引言在日新月异的21世纪里,电子产品得到了迅速发展。
许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU控制器或者是单片机。
单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。
并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。
用单片机来控制的小型电器产品具有便携实用,操作简单的特点。
本文设计的汽车尾灯控制电路属于小型智能电子产品。
利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。
此设计具有相当重要的现实意义和实用价值。
2 系统概述本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。
人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。
软件控制程序实现所有的功能。
整机电路使用+5V稳压电源,可稳定工作。
系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。
图2-1 系统框图3 方案选择由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。
正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。
3.1 方案1——基于AT89S52单片机的汽车尾灯控制电路设计直接用AT89S52单片机来实现汽车尾灯控制电路设计。
AT89S52是一种带8K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。
单片机的可擦除只读存储器可以反复擦写1000余次。
由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。
用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。
汽车尾灯控制电路课程设计报告
![汽车尾灯控制电路课程设计报告](https://img.taocdn.com/s3/m/08f57cbc763231126edb11ea.png)
汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1 汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:图 2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
汽车尾灯控制电路数电课程设计
![汽车尾灯控制电路数电课程设计](https://img.taocdn.com/s3/m/25b3726a302b3169a45177232f60ddccda38e6ca.png)
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
《数字电子技术课程设计》——汽车尾灯控制电路
![《数字电子技术课程设计》——汽车尾灯控制电路](https://img.taocdn.com/s3/m/851fcf4ddf80d4d8d15abe23482fb4daa58d1dcf.png)
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
汽车尾灯控制电路数电课程设计
![汽车尾灯控制电路数电课程设计](https://img.taocdn.com/s3/m/d13f5d5ca55177232f60ddccda38376baf1fe01b.png)
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
汽车尾灯控制电路 VHDL
![汽车尾灯控制电路 VHDL](https://img.taocdn.com/s3/m/12f37c1ea2161479171128dc.png)
可编程逻辑器件设计大作业题目汽车尾灯控制电路学院班级姓名学号2013年12月20日题目:汽车尾灯控制电路一、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1)汽车正常运行时指示灯全灭。
2)右转弯时,右侧3个指示灯按右循印顺序点亮。
3)左转弯时,左侧3个指示灯按左循别顺序点亮。
4)临时刹车时,所有指示灯随着CP时钟脉冲同步闪烁。
二、总体设计:1、总体结构图:2、顶层原理图:三、单元模块设计1、各模块功能汽车尾灯主控模块工作框图如上图左边灯控制模块的工作框图如上图右边灯控制模块的工作框图如上图2、VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.STD_LOGIC_UNSIGNED.ALL;ENTITY weideng IS --实体port(clk,tright,tleft:IN STD_LOGIC; --端口说明rightdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);leftdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END ENTITY weideng;ARCHITECTURE qiche OF weideng IS --结构体部分SIGNAL leftd:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL rightd:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINcontrol:PROCESS(clk,tleft,tright)BEGINIF clk'EVENT AND clk='1'THENIF rightd="01"THEN --右信号rightd<="11";ELSE rightd<=(rightd-1);END IF;IF leftd="01"THEN --左信号leftd<="11";ELSE leftd<=(leftd-1);END IF;END IF;END PROCESS control;proout:PROCESS(clk,tright,tleft,rightd,leftd)BEGINIF clk'EVENT AND clk='1'THENIF tright='1'THEN --右信号有效时leftdeng<="000";CASE rightd IS --右边灯的亮灭状态WHEN"01"=>rightdeng<="001";WHEN"10"=>rightdeng<="010";WHEN"11"=>rightdeng<="100";WHEN OTHERS=>rightdeng<="000";END CASE;ELSIF tright='0'THEN --右信号无效rightdeng<="000"; --右边3个灯全灭END IF;IF tleft='1'THEN --左信号有效rightdeng<="000";CASE leftd IS --左边灯的亮灭状态WHEN"01"=>leftdeng<="100";WHEN"10"=> leftdeng<="010";WHEN"11"=> leftdeng<="001";WHEN OTHERS=> leftdeng<="000";END CASE;ELSIF tleft='0'THEN --左信号无效leftdeng<="000"; --左边3个灯全灭END IF;END IF;IF tleft='1'AND tright='1' THEN --左右信号都有效时6个灯全亮leftdeng<="111";rightdeng<="111";ELSIF tright='0'AND tleft='0'THEN --左右信号都无效时6个灯全灭leftdeng<="000";rightdeng<="000";END IF;END PROCESS proout;END qiche;3、用Quartus Ⅱ软件进行调试:首先是在桌面打开QuartusⅡ软件后选择File>>New Project Wizard进入新建工程对话框>>单击Next进入新建工程路径、名称、顶层实体指定对话框(选择好保存路径、输入工程名为weideng),由于只是进行功能和时序仿真,所以直接单击Finish即可。
数电汽车尾灯控制电路课程设计精选全文
![数电汽车尾灯控制电路课程设计精选全文](https://img.taocdn.com/s3/m/6b7387610812a21614791711cc7931b765ce7b26.png)
可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
电子技术课程设计汽车尾灯控制电路
![电子技术课程设计汽车尾灯控制电路](https://img.taocdn.com/s3/m/222aa5f052d380eb63946d26.png)
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
数字电路课程设计汽车尾灯控制电路设计
![数字电路课程设计汽车尾灯控制电路设计](https://img.taocdn.com/s3/m/a1de0f32a0116c175f0e48c6.png)
汽车尾灯控制电路的设计目录1. 设计任务和设计要求 (1)1.1 设计任务 (1)1.2 设计要求 (1)2. 设计原理与总体框图 (1)3. 单元电路设计 (2)3.1 三进制计数器 (2)3.2 汽车尾灯控电路 (3)3.3 开关控制电路 (4)3.4 时钟产生电路 (5)4. 汽车尾灯总体电路 (5)5. 试验方案及体会 (7)6. ......................................................................... 器件清单77. ......................................................................... 参考文献111. 设计任务和设计要求1.1 设计任务设计一个汽车尾灯控制电路。
汽车尾部左右两侧各有 3 个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
1.2 设计要求设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。
要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车左转弯时,右侧 3 个指示灯按左循环点亮。
(3)当汽车右转弯时,左侧 3 个指示灯按右循环点亮。
(4)临时刹车时,所有指示灯同时闪烁。
(5)选择电路方案,完成对确定方案的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
表 1.1 尾灯和汽车运行状态关系表2. 设计原理与总体框图根据设计的基本要求,汽车左或右转弯时, 三个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(SI 、S0 、CP 、Q1 、Q0 )的关系, 即逻辑功能表如表 2.1 所示(表中0表示灯灭状态,1 表示灯亮状表2.1. 汽车尾灯控制逻辑功能表开关控制三进制计数器六个指示灯S1 S0Q1Q0D6D5D4D1D2D30 0××000000 000001000 10100001010000001000010001 001010000101000001 1××CP CP CP CP CP CP根据表 1.1 可以得出原理框图,如图 2.1所示图 2.1 汽车尾灯控制电路原理图3. 单元电路设计3.1 三进制计数器三进制计数器可由双JK 触发器74LS76构成,其连接电路如图 3.1 所示图 3.1 三进制计数器电路3.2 汽车尾灯控电路汽车尾灯尾灯电路如图 3.2 示,其显示驱动电路由 6 个发光二极管和 6 个反相器构成;译码电路由3-8 线译码器74LS138和 6 个与非门构成。
课程设计-汽车尾灯控制电路设计
![课程设计-汽车尾灯控制电路设计](https://img.taocdn.com/s3/m/2281ff33640e52ea551810a6f524ccbff121cabf.png)
课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。
该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。
汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。
控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。
变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。
电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。
尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。
首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。
其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。
最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。
总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。
其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。
汽车尾灯控制电路设计数字电路课程设计
![汽车尾灯控制电路设计数字电路课程设计](https://img.taocdn.com/s3/m/53eb891c5b8102d276a20029bd64783e09127ded.png)
汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。
基本要求为:1、正常行驶和停车时指示灯全灭。
2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。
3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。
1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。
第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
汽车尾灯控制电路(课程设计报告)
![汽车尾灯控制电路(课程设计报告)](https://img.taocdn.com/s3/m/be0aa24b783e0912a2162a57.png)
摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。
因此,本方案设计了一个“汽车尾灯控制电路”。
“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。
综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。
三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。
汽车尾灯控制电路设计课程设计
![汽车尾灯控制电路设计课程设计](https://img.taocdn.com/s3/m/5a096af25ef7ba0d4a733bb2.png)
汽车尾灯控制电路设计1. 综述本课题要求设计一个汽车尾灯的控制电路。
该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有4个指示灯。
当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。
假设汽车尾灯左右两侧各有4个指示灯(用发光二极管模拟),要求是:汽车正常远行时指示灯全灭;右转弯时,右侧4个指示灯按右循环顺序点亮;左转弯时左侧4个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。
经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。
触发器用于产生三进制的的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过8个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的4个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过与门,实现与刹车左、右转电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
设计本电路是考虑了三种以上的设计方案。
这几种方案的不同在于产生三进制计数器。
理论部分已用Proteus软件进行仿真,并且达到设计要求。
实际部分在电子实验室和同组的成员在老师的指导下一进行模拟,能够达到理论设计要求。
2. 方案选择与论证方案一:该方案通过74LS160或74LS161计数器构成能产生00、01、10三种状态循环的信号。
方案二:通过双 J-K 触发器 74LS76来产生00、01、10的三种状态信号方案三:通过D触发器产生00、01、10的三种状态信号方案四:通过T触发器产生00、01、100的三种状态信号第一种方案非常简单,但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。
所以不推荐使用,相对的是第二种方案,效果是最好的,但设计起来比较复杂,需要极大的细心和耐心,最后我们决定采用第三种方案,设计的复杂程度适中,而且达到了预期的设计目的3.电路设计框图及功能描述表3.1系统框图表3.2 尾灯与汽车运行状态表开关控制运行状态左尾灯右尾灯D4D5D6 D1D2D3 O O 正常运行 灯灭 灯灭O1右转弯灯灭按D1D2D3顺序循环点亮 1 O 左转弯 按D4D5D6顺序循环点亮灯灭11临时刹车所有的尾灯随时钟CP 同时闪烁电路组成及工作原理:经过以上所述的设计内容及要求的分析,可以将电路分为以下1S 0S几部分:首先,通过555定时器产生频率为1Hz 的脉冲信号,该脉冲信号用于提供给D 触发器和刹车时的输入信号。
汽车尾灯控制电路设计
![汽车尾灯控制电路设计](https://img.taocdn.com/s3/m/be3aa608581b6bd97f19ead7.png)
汽车尾灯控制电路设计一、设计任务与要求假设汽车尾部有4个指示灯(用发光二极管模拟),设计要求:(1)汽车正常运行时指示灯全灭(开关s1,s2同时断开);(2)右转弯时,4个指示灯按右循环顺序发光(s1断开、s2闭合);(3)左转弯时,4个指示灯按左循环顺序发光(s1闭合、s2断开);(4)刹车时,所有指示灯全亮(s1、s2同时闭合)。
二、实验设备数字电路试验箱,函数发生器,74LS00,74LS86,74LS161, 74LS138三、设计原理1、汽车尾灯控制电路系统框图电路系统框图如下图所示2、设计步骤列出尾灯变化与开关状态的关系表如下表。
汽车尾灯与开关状态关系表由于汽车左右转弯时,四个指示灯循环发光,所以用四进制计数器控制译码器电路顺序输出低电平,再加之门电路,从而控制尾灯按要求发光。
由此得出在每一种运行情况下,各指示灯与各给定条件S1,S2,CP,Q1,Q0的关系,如下表所示。
首先是设计四进制计数器,用74LS161是很容易实现的,只要接回Q1,Q0这就是四进制计数器了。
由于当A与B逻辑值相等时,四进制计数器相当于对控制电路没有影响,因此可以将A与B异或然后接到74LS138的使能端EN1上,这样可保证A与B同时为1或0时,74LS138不工作,也就是四进制计数器对控制电路没影响了。
由于四进制计数器的循环方向是一致的,因此需要在四进制计数器和三八译码器加门电路。
以保证电路的输出。
左转右转的状态转换表A1因此111A S Q =⊕因此 :010A S Q =⊕由于S1,S2为00和11时,三八译码器不工作,因此0m 1m 2m 3m 都为逻辑1,此时必须将S1,S2通过门电路来控制指示灯的开断。
此时可以先把S1与S2与非然后分别和0m 1m 2m 3m 与非,这样就可以实现S1,S2为00和11时,指示灯去全灭和全亮。
当S1,S2为01和10时,S1与S2与非后为1,再与0m 1m 2m 3m 与非,为0m 1m 2m 3m ,正是我们所要的结果。
汽车尾灯控制电路数电课程设计
![汽车尾灯控制电路数电课程设计](https://img.taocdn.com/s3/m/fcced52b640e52ea551810a6f524ccbff121ca95.png)
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
汽车尾灯控制电路设计课程设计-10页word资料
![汽车尾灯控制电路设计课程设计-10页word资料](https://img.taocdn.com/s3/m/96e865613c1ec5da51e2701c.png)
汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:第3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
基于EDA技术的汽车尾灯控制电路设计精选全文
![基于EDA技术的汽车尾灯控制电路设计精选全文](https://img.taocdn.com/s3/m/2a8e3f4e4531b90d6c85ec3a87c24028915f85a5.png)
可编辑修改精选全文完整版汽车尾灯控制电路一、设计任务(一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。
根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。
4、倒车时播放语音警告5、设置两个可控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。
开关置为01状态时,表示汽车处于右转弯状态。
开关置为10状态时,表示汽车处于左转弯状态。
开关置为11状态时,表示汽车处于刹车状态。
另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。
(二)汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP 可编程逻辑芯片。
大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。
要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。
二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。
系统原理框图如下:1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。
2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计——汽车尾灯控制电路设计
一、实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,
培养设计能力。
二、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使
指示灯达到三个要求:
a、汽车正常运行时指示灯全灭;
b、右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示
灯按左循环顺序点亮。
c、临时刹车时所有指示灯同时闪烁。
三、设计步骤:
(1)列出尾灯与汽车运行状态表
由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。
图1 汽车尾灯控制电路原理框图
(3)设计单元电路
三进制计数器电路可由双JK 触发器74LS76构成。
图2 三进制计数器电路图
采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。
表3为J-K 触发器的状态表。
由双JK 汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。
74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。
当S 1=1,S 2
=0时,使能信
号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。
若上述条件不变,而S 1=0,S 2=1时,则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。
当G =0,A =1时,74LS138的输出端全为1,G 1~G 6的输出端也全为1,指示灯全灭;当G =0,A=CP 时,指示灯随CP 的频率闪烁。
图3 尾灯电路
开关控制电路。
设74LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体逻辑功能表分析及组合得G 、A 与给定条件(S 1、S 2、CP )的真值表,如表4所示,由表4结果整理得逻辑表达式为: 由上式得开关控制电路,如图4所示。
图4 开关控制电路(4)设计汽车尾灯总体电路
附录:芯片功能及引脚介
74LS00四2输入与非门74LS04 六反相器
74LS76 双JK触发器74LS10 三输入与非门74LS86 四-2输入异或门74LS138 3-8译码器。