404数字电路EDA实验
EDA数字电子线路仿真报告1
EDA(一)数字部分电子线路仿真实验报告
实验名称:编码器译码器的仿真
姓名:杨思远
学号:110405264
班级:电气2班
时间:2010.5.17
南京理工大学紫金学院电光系
一、实验目的(四号+黑体)
1)熟悉Multisim7仿真软件数电部分的使用
2)掌握编码器、译码器和数码管逻辑功能和使用方法
3)能够利用编码器、译码器设计简单键盘编码显示电路
二、实验原理
1、用Multisim7软件实现一位全加器,验证正确性。
并将其设计成子电路,分别用字发生器,逻辑转换仪验证,并用逻辑分析仪分析。
2、用74147设计简单键盘编码电路,通过显示译码器实现数字码显示;
3、利用74147、7447和七段显示数码管设计简单键盘编码显示电路。
三、实验内容
(格式同上,5张图:1、全加器2、字信号发生器+逻辑转换仪+全加器3、逻辑分析仪+全加器4、74147键盘电路5、74147+7447键盘电路)
四、小结与体会
通过此次实验,我认识到了平时学习中所没有注意到得问题,并努力的解决了。
明白了“纸上得来终觉浅”,经过实践才能检验出学习的知识是否掌握。
无论如何,在今后的学习生活中,我将继续努力。
EDA教学在数字电路实验中的实践与探索
摘要 : 本文 是 对 近 几 年 来 在 电子 电路 国家 级 实 验 教 学 示 范 中心 建 设 及 相 关 教 改 项 目 的推 动 下 、 字 电路 实验 课 程 引入 E 数 DA 教 学 的 教 改 实践 的 系统 总 结 。从 教 学 内容 、 学方 式 方 法等 多方 面入 手进 行 改 革 和 探 索 , 好 地 解 决 了新技 术 进 课 堂后 有 限 教 较
生 的 基 本 需 要 。然 而 , EDA 技 术 的 内 容 是 十 分 丰 富
的 , 较少 的学 时 内 , 照 基 础性 、 统 性 、 用 性 、 在 按 系 通
先 进 性 和 可 迁 移 性 要 求 挑 选 最 有 代 表 性 的 内 容 充 实
“ 字 电路 实 验 ” 是 教 改 的 一 项 重 要 内 容 。经 过 对 数 ,
电 -= 息 类 专 业 的 新 技 术 选 修 课 不 断 增 加 , y信 不
少 新 技 术 被 纳 入 课 堂 之 内 , - 的 教 学 时 数 和 不 断 ; 限 i f 膨 胀 的教学 内容 出 现 尖 锐 的 矛 盾 , 有 压 缩 传 统基 只
数字 电路实 验是 电子信 息类 专 业 的 一 门专 业基 础 实 验 课 , 负 着 巩 固 理 论 知 识 , 养 学 生 动 手 操 作 担 培 能 力 、 识 应 用 能 及 和 创 新 能 力 的 重 任 , 专 业 教 学 知 在
中具 有 举 足 轻 重 的 地 位 。 一 门 优 秀 课 程 的 教 学 内 容
应 该 体 现 以人 为 本 的 教 育 思 想 , 须 体 现 知 识 的 基 必 础 性 、 统 性 、 进 性 和 -" 移 性 , 有 与 时 俱 进 的 系 先 n迁 j 具 特 点 。 随 着 科 技 的 发 展 和 社 会 的 进 步 , 些 过 时 的 一 传 统 教 学 内 容 已 经 成 为 束 缚 学 生 个 性 发 展 的 重 要 因 素 , 的 教 育 观 念 和 科 学 技 术 对 传 统 教 学 内 容 提 出 新 了 及 时 更 新 、 断 补 充 、 化 组 合 的 要 求 。 尤 其 是 近 不 优 年来 , EDA 技 术 的 迅 速 发 展 , 成 为 开 发 电 子 产 品 已 的 重 要 工 具 和 手 段 。 因 此 , 数 字 电 路 实 验 课 程 开 在 设 相 应 的 EDA 实 验 具 有 追 踪 新 技 术 发 展 重 要 意 义 。 本 文 介 绍 在 国 家 级 示 范 中 心 建 设 及 相 关 教 改 项 目 的
EDA实验报告——计数器
EDA实验报告——计数器一、实验目的本实验主要是通过搭建计数器,了解计数器的基本原理和使用方法,掌握数字电路的设计方法及仿真分析方法。
二、实验原理计数器是数字电路的重要组成部分,在数字电路的多个应用中都有着广泛的应用。
计数器可以实现多种数字处理功能,如二进制计数、定量计数、计时、频率分频等。
三、实验材料1. Protues软件2. 74LS90集成电路3. 7段数码管4. 4位拨动开关5. 4个LED灯6. 电路板、杜邦线等。
四、实验内容1. 给定 74LS90 计数器数据手册,分析本实验使用到的 74LS90 芯片的接口及特性。
2. 根据实验需求,用 Protues 软件搭建计数器电路图。
3. 在计数器电路图中连通 74LS90 芯片的译码器输出端口,设置与四个拨动开关相连的输入端口以及 LED 显示器输出端口,实现计数器的计数。
4. 在计数器的输出端口增加 7 段数码管,通过数码管显示计数值。
5. 根据实验需求搭建模电流源电路,对实验电路进行仿真分析,并对仿真结果进行评估。
五、实验步骤2. 从库中拖动 74LS90 芯片、7 段数码管、拨动开关、LED 灯等元件到设计图中。
4. 在 74LS90 芯片工作模式的选择端口接上拨动开关,选择计数器的计数方式。
5. 配置模电流源电路,并设置仿真参数。
6. 进行仿真并记录仿真结果。
七、实验结果本实验搭建的计数器能够正确地进行计数,并且将计数结果通过数码管和 LED 灯显示出来。
在进行仿真分析时,根据仿真数据评估实验电路的合理性。
本实验通过搭建计数器,了解计数器的基本原理和使用方法,掌握数字电路的设计方法及仿真分析方法。
实验中主要学习了数字计数器的结构、性能和工作原理,在搭建计数器电路时主要包括了 74LS90 芯片的接口和设置以及输入输出端口的设置。
通过本实验,我进一步了解了计数器的基本知识和原理,也学习了如何使用 Protues 软件进行电路的搭建和仿真。
电子电路EDA实验(1)
2023/12/28
电子电路EDA实验(1)
电子电路EDA实验
二、实验设备 微型计算机
EDA实验箱 ZYE1502C
电子电路EDA实验(1)
实验一 原理图输入设计组合逻辑电路
一、实验目的 通过简单组合逻辑电路的设计,初步了解CPLD设计
的全过程和相关EDA软件 MAX+plusII的使用。掌握原理 图输入的设计方法和流程,学会对实验开发系统中的 CPLD的编程下载、硬件测试。
实现与门、或门、与非门、或非门、异或门及反相器的 基本门电路。要求输入端口为A、B 。输出端口为YAND YOR、YNAND、YNOR、YX件测试。
电子电路EDA实验(1)
实验四 扫描显示电路的驱动
一、实验目的 1. 了解八位七段LED数码管扫描显示的原理。 2. 学习同时使用文本输入和原理图输入设计数字电
VHDL设计,即完成文本输入、编译、仿真。
电子电路EDA实验(1)
3rew
演讲完毕,谢谢听讲!
再见,see you again
2023/12/28
电子电路EDA实验(1)
3. 实验连线:5个输入端D1、D2、D3、D4 、D5所锁 定的CPLD管脚接5个拨位开关, 2个输出端信号管脚接相 颜色的LED灯。
电子电路EDA实验(1)
实验二 原理图输入设计时序逻辑电路
一、实验目的 通过一个四位异步二进制加法计数器的设计,掌握
CPLD设计的全过程和相关EDA软件MAX+plusII的使用。 掌握层次化设计的方法。
电子电路EDA实验(1)
实验四 扫描显示电路的驱动
3. 实验连线 清零信号RESET所锁定的管脚接按键开关。 时钟CLK接时钟源(F=1Hz左右)。 地址信号SEL2、SEL1、SEL0锁定的管脚同P37处的 连接线孔SEL2、SEL1、SEL0相连。 代表7段码驱动信写A、B、C、D、E、F、G锁定 的管脚同PCLK处的连接线孔A、B、C、D、E、F、G相 连。
eda仿真实验报告
eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。
在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。
本篇报告将介绍我在EDA仿真实验中的经验和收获。
二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。
通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。
三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。
在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。
2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。
在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。
3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。
这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。
4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。
通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。
5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。
通过对比仿真输出和预期结果,可以判断电路设计的正确性。
如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。
四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。
通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。
无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。
在实验过程中,我还发现了一些有趣的现象。
例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。
HL-404型数字电路实验箱
HL-404型数字电路实验箱一、产品介绍:HL-404型数字电路学习实验箱,设计豪华气派,主机提供了多种信号源;正面印刷字符连线,反面按装元器件,所有信号源频率计等电路全部由CPLD芯片和双面板构成,所有器件均选用优质产品,使整机的品质得到提高。
由于元器件都装于背面,从而能有效的降低和避免人为损坏的可能,本机特点:使用方便,耐用,实验项目灵活,可方便做数字模拟各类实验。
本学习机适用于高等院校及各类职业技术学校的电子技术类教学。
二、系统组成(1)电源:交流输入:220V±10% ,50HZ直流输出:±12V/200mA 、5V/2A(2)手动单脉冲电路2组:可同时输出正负两个脉冲,脉冲幅值为TTL电平。
(3)连续脉冲一组,输出为TTL电平:固定频率脉冲源:1HZ、1KHZ、10KHZ、100KHZ、1MHZ;(4)六位高精度数字频率计, 测量范围:0-9.9999MHZ 误差<1HZ(由CPLD芯片设计)(5)逻辑电平的输入与显示:A、八位独立逻辑电平开关:可输出“0”、“1”电平(为正逻辑)。
B、八位由红色LED及驱动电路组成的逻辑电平显示电路。
(6)数码管显示:A、4位由八段LED数码管组成的BCD码译码显示电路;B、1位八段LED数码管,引脚全部引出,用于数码管实验。
(7)时序发生器及启停控制电路。
(8)8芯、14芯、16芯、20芯、28芯等圆孔插座21只,可满足各种IC芯片(9)各阻值电位器4只。
(10)常用规格电阻电容30只三、推荐实验项目1.TTL集成逻辑门的参数测试与使用2.CMOS集成逻辑门的测试3.门电路的逻辑功能实验4.常用组合逻辑功能器件的测试5.半加器、全加器及逻辑运算实验6、七人表决电路及血型检测电路7、RS触发器的功能测试8、JK、D触发器逻辑功能及主要参数测试9、三态输出出发器及锁存器10、异步二进制计数器实验11、同步二进制计数器实验12、移位寄存器的功能测试13、计数、译码、显示电路实验14、555集成电路及应用15、波形产生及单稳态触发器16、序列检测器的设计17、D/A数模转换器18、A/D模数转换器19、可人工干预的交通灯控制器20、数字电子钟设计21、数字频率计22、汽车尾灯控制实验。
EDA技术与应用-EDA实验及课程设计
三、实验内容 1. 建立图7.1所示的原理图电路。 2. 通过该例熟悉软件的使用。 3. 熟悉EDA/SOPC实验箱使用。
图7.1 原理图设计例图 四、实验研究与思考 功能仿真、验证可以起到什么作用?
实验二 奇偶检测电路设计
一、实验目的
1. 掌握EDA软件开发工具的原理图输入的设计步骤及方法; 2. 掌握简单组合逻辑电路原理图的设计方法,进一步熟悉开
图7.7的UP控制是加法计数还是减法计数,RST控制是否清 0,EN是使能端控制输入信号是否有效,CLK是时钟脉冲。 COUNT是输出的进位信号,SUM是输出信号(000~111)。 其中CLK可以由实验箱中的时钟电路来提供(必要时进行分 频处理),也可以手动产生。
路。
二、实验仪器 计算机、MAX + plusⅡ或QuartusⅡ软件、EDA/SOPC实验箱。
三、实验内容 1. 设计要求:用八选一数据选择器74151实现一个四位二进
制数输入中含偶数个‘0’的判断电路,可附加必要的外围电 路。 2. 对设计文件进行语法检查、项目编译,无误后加以仿真以 验证电路设计是否正确。
0 XX 0 1 1 1 1 1 1 0 1 0 1
0 X0 1 1 1 1 1 1 1 1 0 0 1
0 01111111 1 1 1 0 1
四、实验内容 1. 启动软件建立一个空白工程,然后命名。 2. 新建VHDL源程序文件并命名,输入程序代码并保存,进行
综合编译,若在编译过程中发现错误,则找出并更正错误, 直至编译成功为止。 3. 新建仿真文件,对各模块设计进行仿真,验证设计结果, 打印仿真结果。
二、实验仪器 计算机、MAX + plusⅡ或QuartusⅡ软件、EDA/SOPC实验箱。
“数字电路实验”教学中的EDA方法
“数字电路实验”教学中的EDA方法EDA是电子设计自动化的缩写,是在计算机辅助下进行电子工程设计的一种工具,它可以将大量时间耗费和复杂难度的任务自动化,提高了设计效率和设计质量。
在数字电路实验中,EDA方法的使用可以加速实验进程,同时也能够提高实验结果的准确性和实验数据的稳定性,是数字电路实验中不可或缺的一部分。
数字电路实验中,EDA方法的主要应用包括以下几个方面:1.电路设计EDA工具可以帮助学生进行电路设计,例如Verilog和VHDL,这些工具中提供了非常丰富的库和模块,使得学生在进行电路设计时可以更快地找到自己需要的模块,不需要从头开始开发设计,极大地提高了学生的学习效率和效果。
2.仿真仿真对于数字电路实验来说是极其重要的,可以有效地验证设计的正确性。
使用EDA 工具进行仿真时可以通过模拟设备来测试电路,也可以看到电路输出。
这种仿真方法提高了学生对电路性能的理解和熟悉度,可以更好地掌握电路的内部结构和工作原理。
3.可视化EDA工具可以帮助学生进行电路的可视化,例如利用图像反映电路的操作流程。
学生通过观察电路的可视化,可以更好地理解电路的内部结构和工作原理。
此外,利用EDA工具还可以实现直观交互界面,利用拖拽和界面摆放的方式极大地方便了学生进行数字电路实验。
4.教学自动化使用EDA工具进行数字电路实验可以提高教学自动化程度,减轻教师的负担,并且可以统计、保存和自动生成实验数据,使得数字电路实验的学习更加高效和便捷。
总之,EDA工具已经成为数字电路实验中不可或缺的一部分,能够极大地提高学生的设计效率和设计质量,同时也让教学过程更加便捷、高效、准确和稳定。
虽然EDA工具的使用和操作难度较大,但是在数字电路实验中,使用EDA工具将非常重要,值得学生和教师共同学习和掌握。
EDA实验报告含结果图
EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。
2、熟悉EDA-IV实验箱。
3、熟悉EDA开发的基本流程。
二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。
三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。
实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。
表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。
数电EDA大作业一报告
将3个CP1串行级联,并将第一个的进位输入端接符号位。
实现功能:求补码
5.简易3-1选择器(3_1selector):
输入:选择端S2S1S0,数位I2I1I0输出:Y
约束条件:
实现功能:选通一个输入端。
6.简易2-4译码器(2_4decoder):
实现功能:通过拨码开关或者接入两列方波序列让加数和结果分别显示在数码管上。
二、设计思路
1.注意到两个带符号位的四位二进制数时,需要先把二进制数的补数相加再取补数,所以做三个补码器和一个全加器组合,进而完成运算器。
2.对于带符号位的三位二进制数,可以扩展成四位,即把M, N扩展成A3A2A1A0形式,其中,A3为符号位,A2补0,A1A0与原M、N的最后两位相同。
2.全加器和运算器的设计基本没有遇到设计上的问题,因为电子技术实验三组合电路有设计过类似电路。
3.设计分频器时,遇到的问题是在quartus ii中不能直接添加时钟,最后查看FPGA使用说明才知道用晶振产生时钟信号。
4.分频器接入电路后出现错位重影的问题,分析是竞争冒险的问题,所以继续分频,直到能准确显示为止(31.25Hz)。
8.同步十进制加法器(SDAC):
实现功能:产生占空比为1/10的方波。
9.降200k分频器(FD200k):
用5个SDAC串联,最后接上一个T触发器,并使T为1或T与CLK相接。
实现功能:将频率降低200k,如将50MHz降为250Hz。
10.扫描显示电路(show):
用2-4译码器、3-1选择器、运算器、7447译码器等组合成扫描显示电路。
A模块:
分别输出31.25Hz和5Hz的时钟信号。
《数字电路EDA设计》实验大纲
实践(实训)内容实训一:Quartus II开发软件安装与使用1、实验内容1)Quartus II开发软件安装2)Quartus II开发软件功能认识3)Quartus II开发软件基本设计流程2、基本要求1)掌握Quartus II开发软件的安装方法2)了解Quartus II开发软件的基本功能界面包括:原理图、符号、文字、波形、引脚平面编辑器、信息窗口、体系显示、编译、烧写、时间分析窗口。
3)初步学会使用Quartus II开发软件创建、保存文件、编译、综合和仿真等4)认识元件库的可编程器件实训二: VHDL程序设计(1)1、实验内容VHDL功能语句的认识,包括类属参数说明语句、端口、BLOCK语句、进程、子程序。
2、基本要求练习语句输入、掌握其在程序当中的功能特点实训三:VHDL程序设计(2)1、实验内容1)程序包、库、配置语句2)并行语句、顺序语句3)VHDL语言的运算操作符,包括逻辑运算符、算术运算符、关系运算符1、基本要求理解上述语句和运算符的用途实训四:组合逻辑电路设计(1)1实验内容1)基本门电路2)半加器、全加器2、基本要求1)了解电路逻辑原理2)用原理图输入法进行设计3)用文本输入法(VHDL程序)进行设计4)仿真结果实训五:组合逻辑电路设计(2)1、实验内容1)6位加法器2)4位加减法器3)3位乘法器2、基本要求1)了解电路逻辑原理2)用原理图输入法进行设计3)用文本输入法(VHDL程序)进行设计4)仿真结果实训六:时序逻辑电路设计(1)1、实验内容1)JK触发器2)同步清零的计数器3)串入/串出移位寄存器2、基本要求1)了解电路逻辑原理2)用原理图输入法进行设计3)用文本输入法(VHDL程序)进行设计4)仿真结果实训七:时序逻辑电路设计(2)1、实验内容1)循环移位寄存器2)6位双向移位寄存器3)有限状态机设计(莫尔型、米里型)2、基本要求1)采用VHDL设计2)仿真结果实训八:分频器设计1、实验内容设计一个半整数分频器2、基本要求1)学会分频器设计的方法2)用VHDL语言设计程序3)仿真结果4)下载到实验箱进行验证实训九:音乐发生器设计1、实验内容设计一个音乐发生器2、基本要求1)了解音乐发生器的电路组成及工作原理2)用VHDL语言设计程序3)仿真结果4)下载到实验箱进行验证实训十: 2FSK/2PSK 信号产生器设计1、实验内容设计2FSK/2PSK 信号产生器2、基本要求1)用VHDL语言设计程序2)仿真结果3)下载到实验箱进行验证实训十一:多功能电子表设计1、实验内容设计一个具有多功能电子表,其功能包括:数字钟、数字跑表、调时、闹钟设置、日期设置2、基本要求1)用VHDL语言设计程序2)仿真结果3)下载到实验箱进行验证实训十二: A/D 变换控制器的设计1、实验内容设计设计一个控制器控制ADC0809,使其正常工作。
数电实验-EDA仿真实验
EDA仿真实验班级:02321202 姓名:曹光浦学号:11201204031.实验目的(1)掌握用逻辑转换器进行逻辑电路分析与设计的方法(2)熟悉数字逻辑功能的显示方法及单刀双掷开关的使用(3)熟悉自信号发生器,逻辑分析仪的使用方法2.实验内容(1)用与非门实现四舍五入判别电路(2)设计一个表决电路,当控制端M=0时,输入端A,B,C一致同意时,输出F为1,否则输出为0;当控制端M=1时,输入端A,B,C多数同意时,输出F为1,否则输出为0,要求用3线-8线译码器74LS138和必要的门电路实现。
(3)设计一个四位数值比较器,要求用集成数值比较器74LS85实现。
3.解题过程及实验步骤(1)用与非门实现四舍五入判别电路解:设A,B,C,D为由高到低4位输入端,即要求电路输出F有如下表达式:∑+∑,1110Fm)9,8,7,6,5(φ(,,=)12141513,,由卡诺图得到表达式BDF=A+=+BCABCBD电路图:真值表:A B C D F0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 10 1 1 0 10 1 1 1 11 0 0 0 11 0 0 1 11 0 1 0 X1 0 1 1 X1 1 0 0 X1 1 0 1 X1 1 1 0 X1 1 1 1 X 实验步骤:(a)输入ABCD=0100时,输出F=0以表示“四舍”(b)输入ABCD=0101,时,输出1表示“五入”(2)设计一个表决电路,当控制端M=0时,输入端A,B,C一致同意时,输出F为1,否则输出为0;当控制端M=1时,输入端A,B,C多数同意时,输出F为1,否则输出为0,要求用3线-8线译码器74LS138和必要的门电路实现。
解:根据题意,可得出表达式:MABCABCA+=)(B++F=ABCBCABCACABCMABC电路图:真值表:M A B C F0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 01 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 1 实验步骤:(b)输入M=0,ABC=001时,输出F=0表示不通过。
EDA数字电路设计实验报告范文
一、实验目的通过本实验的学习,使学生掌握VHDL 中文件IO、配置、同步和异步设计等知识,训练VHDL 的编程能力,培养数字电路设计的基本技能,为今后继续学习大规模数字系统设计奠定基础。
二、实验内容1、分析示例代码,掌握VDHL 文件IO 的编写方法。
2、分析示例代码,掌握VDHL 配置的使用以及编写方法。
3、按照要求修改文件IO 和配置的示例代码。
4、根据同步和异步设计的不同,按照要求编写代码。
三、实验原理、方法和手段复杂设计,例如CPU,需要给它执行的指令。
以验证其正确性。
执行的结果也可以保存在文件中,供以后分析用。
VHDL 提供了文件读写功能,可以将测试激励预先保存在文件中,然后读入进行仿真。
文件读写的功能保存在IEEE 库的std.textio 和 std_logic_textio 包中,在文件头包含这些库,包,就可以调用文件读写函数。
文件I/O 关键语句:1、使用IEEE 文件读写包:USE ieee.std_logic_textio.all;USE std.textio.all;2、定义文件数据类型file results: text open write_mode is “results.txt";file mem_data: text;3、打开文件file_open(mem_data, "mem_data.txt", read_mode);4、定义行变量variable inline: line;5、读入一行数据到行变量readline(mem_data, inline);6、读行变量数据read(inline, ram_mem_temp);7、写数据到行变量write(OneLine,addr,right,10);8、写行变量到文件中writeline(results,OneLine);9、类型转换To_stdlogicvector(ram_mem_temp);--将ram_mem_temp 转换成std_logic_vector 型变量conv_integer(addr);--将addr 转换成interger 型变量1),常用的数据类型,函数,过程,模块,测试激励可以放在一个包中,以方便重用。
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)
EDA实验报告(四选⼀、四位⽐较器、加法器、计数器、巴克码发⽣器)实验1 4选1数据选择器的设计⼀、实验⽬的1.学习EDA软件的基本操作。
2.学习使⽤原理图进⾏设计输⼊。
3.初步掌握器件设计输⼊、编译、仿真和编程的过程。
4.学习实验开发系统的使⽤⽅法。
⼆、实验仪器与器材1.EDA开发软件⼀套2. 微机⼀台3. 实验开发系统⼀台4. 打印机⼀台三、实验说明本实验通过使⽤基本门电路完成4选1数据选择器的设汁,初步掌握EDA设计⽅法中的设汁输⼊、编译、综合、仿真和编程的过程。
实验结果可通过实验开发系统验证,在实验开发系统上选择⾼、低电平开关作为输⼊,选择发光⼆极管显⽰输出电平值。
本实验使⽤Quartus II软件作为设计⼯具,要求熟悉Quartus II软件的使⽤环境和基本操作,如设计输⼊、编译和适配的过程等。
实验中的设计⽂件要求⽤原理图⽅法输⼊,实验时,注意原理图编辑器的使⽤⽅法。
例如,元件、连线、⽹络爼的放巻⽅法和放⼤、缩⼩、存盘、退岀等命令的使⽤。
学会管脚锁定以及编程下载的⽅法等。
四、实验要求1.完成4选1数据选择器的原理图输⼊并进⾏编译;2.对设计的电路进⾏仿真验证:3.编程下载并在实验开发系统上验证设计结果。
五、实验结果管脚分配:N;如kne DteOwn LccatMi Pwecgj G【c^p I/ODo-l 2 GC6P I ifo Xfl-c t nk A Igt PHJ V21Bl NO AS-VLUTrifd2?B Irpjt PIW.VI DJ_W ^>VLVTTl(d 3? co1r(xt P1M IPS5a^Lumid 庐Cl Irpul P1W.KC654a>vivin(d 5* C213P1KLP2S M」JO a>vLum(d 6* C3Inpjt叽⼼:■? ^3-VLVTn(d I* GK incut PJWJtfH7B7JJ1 a>VLUTn(d8o v O J U X A7B7 M J S3-VLVTn(d9<wvx4fr?实验2 四位⽐较器⼀、实验⽬的1. 设计四位⼆进制码⽐较器,并在实验开发系统上验证。
(完整word版)EDA实验报告-实验2-数码管扫描显示电路
暨南大学本科实验报告专用纸课程名称 EDA 实验 成绩评定实验项目名称 数码管扫描显示电路 指导教师 郭江陵 实验项目编号 02 实验项目类型 验证 实验地点 B305 学院 电气信息学院 系 专业 物联网工程 组号: A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。
EDAPRO/240H 实验仪主板的VCCINT 跳线器右跳设定为3.3V ; EDAPRO/240H 实验仪主板的VCCIO 跳线器组中“VCCIO3.3V ”应短接,其余VCCIO 均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT 跳线器组设定为 2.5V ;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO 跳线器组设定为3.3V 。
请参考前面第二章中关于“电源模块”的说明。
二、实验目的1、了解时序电路设计。
2、制作一个数码管显示的7段译码电路,以备以后调用。
三、实验原理在电子电路显示部分里,发光二极管(LED )、七段显示数码管、液晶显示(LCD )均是十分常见的人机接口电路。
通常点亮一个LED 所需的电流在5~20mA 之间,电流愈大,LED 的亮度也高,相对的使用寿命也愈短。
若以10mA 导通电流来估算一个接5V 的串接电阻值计算应为:(5-1.6)/10mA ≈0.34K Ω。
七段显示数码管分为共阳、共阴二种极性。
它们等效成八个LED 相连电路。
共阴极七段显示器的LED 位置定义和等效电路共阴极七段显示码十六进制转换表四、实验内容用拨码开关产生8421BCD 码,CPLD 器件产生译码及扫描电路,把BCD 码显示在LED 数码管上,通过改变扫描频率观察数码管刷新效果。
五、实验要求学习在MAX+PLUS II 中使用VHDL 设计功能模块,并将所生成的功能模块转换成MAX+PLUS II 原理图的符号库,以便在使用原理图时调用该库。
(完整word版)EDA实验报告
计算机学院计算机科学与技术专业1班____组、学号姓名协作者______________ 教师评定_________________实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。
2、仿真组合逻辑电路。
3、仿真时序逻辑电路。
4、基本门电路、组合电路和时序电路的程序烧录及验证。
5、数字逻辑综合设计仿真及验证。
实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。
二、实验环境Libero仿真软件。
三、实验内容1、掌握Libero软件的使用方法。
2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。
)4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个....的综合结果,以及相应的仿真结果。
四、实验结果和数据处理1、所有模块及测试平台代码清单..(完整word版)EDA实验报告//74HC00代码-与非module HC00(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A&B);//与非endmodule//74HC00测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;(完整word版)EDA实验报告endendmodule//74HC02代码-或非module HC02(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A|B);//或非endmodule//74HC02测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC04代码-非module HC04(A,Y);input [4:1]A;output [4:1]Y;assign Y=~A;endmodule//74HC04测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC08代码-与module HC08(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A&B;endmodule//74HC08测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegin(完整word版)EDA实验报告a=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC32代码-或module HC32(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A|B;endmodule//74HC32测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;(完整word版)EDA实验报告HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC86代码-异或module HC86(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A^B;endmodule//74HC86测试平台代码`timescale 1ns/1ns(完整word 版)EDA 实验报告module testbench(); reg [4:1] a,b; wire [4:1] y;HC00 u1(a,b,y);initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1;a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1;#10 b=b<<1;endendmodule2、第一次仿真结果(任选一个....门,请注明,......插入截图,.....下同..)。
EDA实验报告
EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。
二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。
通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。
2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。
常见的组合逻辑电路有加法器、编码器、译码器等。
3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。
常见的时序逻辑电路有计数器、寄存器等。
四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。
2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。
3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。
五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。
(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。
(3)对设计进行编译,检查是否存在语法错误。
(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。
2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。
(2)编写测试代码,对计数器进行仿真验证。
(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。
3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。
(2)进行编译和仿真,确保译码器的功能正确。
(3)将译码器与计数器连接起来,实现数码管的动态显示。
eda课程设计实验报告
eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用所学知识,设计并实现基本的数字电路。
3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。
技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。
2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。
3. 学生在小组合作中,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。
2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。
3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。
学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。
教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。
EDA在数字电路实验中的应用
20 0 7年 1 2月
E A 在 数字 电路实验 中的应 用 D
4 国凤 2 -
( 州 师 范 学 院 山西 忻 州 0 4 0 ) 忻 3 0 0
[ 要 ] 首 先 介 绍 了 EDA 的 发 展 状 况 及 实 验 教 学 中 常 用 的 EDA 软 件 , 后 通 过 数 字 时 钟 设 摘 然 计 说 明 将 其 引 入 数 字 电 路 实 验 教 学 对 提 高 教 学 质 量 的 作 用 , 时 也 分 析 了 EDA 软 件 应 用 于 实 验 同 教 学 的 局 限 性 . 后 指 出在 实验 教 学 中 , 最 EDA 实 验 一 定 要 与 实 际 操 作 实 验 相 结 合 , 到 软 硬 兼 施 , 做
电子 工程 师 必备 的技 术. DA 技术 也成 为 电子 学 领域 的重要 分 支 , 传 统 的定 量 估算 和 电路 实验 的设 计 方 E 使
法 产生 了根 本 变化 . E 将 DA 技术 引 入 实验 教 学 中 , 教 学生 使 用 常见 E A 软件 进 行 实验 电 路仿 真 的 同 时 在 D
维普资讯
第6 卷
第 4期
太 原 师 范 学 院 学 报 ( 自然 科 学 版 )
J OURNAL OF TAI YUAN NORMAL UNI VERS TY ( t rlS in eEdt n I Nau a ce c ii ) o
V 16 o. No 4 .
1 3 M A X + pl . usⅡ
MAX+pu lsⅡ是 Al r t a公 司提 供 的 F GA/ P D开 发集 成环 境 . e P C L ]
1 MAX+pu 界 面友 好 , 用便 捷 , ) lsⅡ 使 被誉 为业 界最 易学 、 易用 的 E A 软 件. D
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
reg[3:0]count_out;
always@(posedge clk) begin if(!rest) count_out=4'b0000;
else if(!load)
count_out=in_data; else if(up_down) count_out=count_out+1; else
绿灯 黄灯
左转灯
黄灯
红灯
2013-4-11
19
CUST
2、设计一个十字路口智能交通灯控制器,AB方向和CD
方向各有红灯、黄灯、绿灯和左转灯四种类型灯,
四种灯按照合理的顺序依次亮灭,并能够将对应灯 亮的时间以倒计时形式显示出来。
2013-4-11
20
CUST
3、利用三个计数器,分别对应绿灯、黄灯、左转灯。 利用三个信号监测3种灯的计数是否完毕。当检测到 信号发生变化时状态就转换到下一个状态,即完成 灯亮的变化。在显示方面,AB方向和CD方向各需要
程等,会用原理图输入和硬件描述语言VHDL设计逻辑电路。
2013-4-11
2
CUST
实验设备
微型计算机45台
2013-4-11
3
实验一:三人表决器设计
一:实验目的
熟悉利用MAX+plusII的原理图输入方法设计简单 组合电路,初步了解相关EDA软件,通过三人表决器 的设计掌握利用EDA软件进行数字电路设计的详细流 程。
四个数码管显示,记数输出采用BCD码,每个方向红
灯亮的时间=每个方向绿灯(64s)+2次黄灯(2*4s)+ 左转灯(15s)的时间。
2013-4-11
21
CUST
4、交通灯的状态转移表
2013-4-11
22
CUST
5、状态机和计数器的部分程序
module traffic_ctr(clk,rest,lamp_ab,lamp_cd); input clk;//标准时钟信号 input rest;//系统复位信号 output[3:0]lamp_ab,lamp_cd;//灯的顺序是绿灯、黄灯、左转灯、红灯 reg[5:0]count_green;//绿灯寄存器变量,灯亮时间为64s reg[1:0]count_yellow;//黄灯寄存器变量,灯亮时间为4s reg[3:0]count_turn;//转向灯寄存器变量,灯亮时间为16s reg[2:0]pres_state,next_state;//状态机变量 reg[3:0]lamp_ab,lamp_cd;//对应灯的寄存器变量 wire flag1,flag2,flag3;//作为进行下一个状态标志 assign flag1=(count_green==0)?1:0; assign flag2=(count_yellow==0)?1:0; assign flag3=(count_turn==0)?1:0; always@(flag1 or flag2 or flag3 or rest) end always@(posedge clk) begin case(pres_state) begin if(!rest) pres_state<=0; else pres_state<=next_state;
2013-4-11 13
CUST
三、实验结果
1、四位可变模值加/减计数器部分VHDL程序
module clock_updown(in_data,clk,rest,load,up_down,count _out);
input clk,rest,load,up_down; input[3:0]in_data; output[3:0]count_out;
2013-4-11 8
CUST
三、实验结果
1、 3/8译码器部分VHDL程序
module m0000001(a,b,c,g1,g2an,g2bn,y); case(in) 3'd0:y=8'b00111111; input a,b,c,g1,g2an,g2bn; output[7:0]y; reg[7:0]y; wire[2:0]in; assign in={a,b,c}; always @(in or g1 or g2an or g2bn) begin 3'd1:y=8'b00000110; 3'd2:y=8'b01011011; 3'd3:y=8'b01001111; 3'd4:y=8'b01100110; 3'd5:y=8'b01101101; 3'd6:y=8'b01111101; 3'd7:y=8'b00000111; default:y=8'bx; endcase end
五、实验报告
1、实验目的;实验原理;实验内容;实验步骤及 方法;实验仿真;实验结论;思考题解答,并叙 述实验步骤和详细设计流程。 2、给出实验参考程序,顶层原理图,仿真波形图,并对 仿真结果进行分析。 2013-4-11 3、实验的结论和体会。
18
实验四:综合设计 智能交通灯控制器设计 CUST
一:实验目的
begin
case (disp_data) 4'h0: outdata = 8'hc0; //显示0 4'h1: outdata = 8'hf9; //显示1
4'h2: outdata = 8'ha4; //显示2
4'h3: outdata= 8'hb0; //显示3 4'h5: outdata = 8'h92; //显示5
序仿真和引脚锁定等。
三、实验结果
1、原理图输入电路
2013-4-11
5
CUST
2、仿真波形
2013-4-11
6
CUST
四、思考题
1、分析仿真结果的延时情况。 2、说明组合逻辑电路的设计步骤。
五、实验报告
1、实验目的;实验原理;实验内容;实验步骤及 方法;实验仿真;实验结论;思考题解答。 2、本实验项目要求给出程序,简单原理图及其对 应的仿真。 3、根据实验结果,分析表决器的延时情况,回答思 考题,并写出实验的结论和体会。
0://AB方向绿灯亮
2013-4-11
23
CUST
begin if(!flag1) begin 1://AB方向黄灯亮 begin if(!flag2) begin lamp_ab<=4'b0100; lamp_cd<=4'b0001; count_yellow<=count_yellow+1; end else begin 2://AB方向转向灯亮 begin if(!flag3) begin lamp_ab<=4'b0010; lamp_cd<=4'b0001; count_turn<=count_turn+1; end else begin
case (disp_data) //选择输出数据
//显示0 //显示1 //显示2 //显示3 //显示4 //显示5 2013-4-11 4'h0: outdata = 8'hc0; 4'h1: outdata = 8'hf9;
4'h2: outdata = 8'ha4;
4'h3: outdata= 8'hb0; 4'h4: outdata = 8'h99;
2013-4-11 12
实验三:可变模四位加/减计数器的设计
一:实验目的
1、熟悉MAX+plusII的VHDL或Verilog文本设计过程, 学会时序电路的设计和仿真,并进一步掌握计数器的工 作原理。 2、学习并掌握基于MAX+plusII的电路系统多层次的 设计方法。
CUST
二:实验内容
1、用文本输入法分别完成可变模四位加/减计数器和七 段显示译码器的设计,生成图元文件,并进行功能仿真。 2、设计的计数器和译码器为底层文件,完成顶层设计, 并对顶层文件进行功能仿真。
2013-4-11 7
实验二:组合逻辑模块设计
一:实验目的
熟悉MAX+plusII的VHDL或VerilogHDL文本设计 全过程,掌握简单组合逻辑电路的VHDL描述,文本输 入设计和仿真,并进一步掌握译码器的工作原理。
CUST
二:实验内容
1、使用VHDL的case语句,设计七段译码器的程序, 并完成文本输入、编译、仿真。 2、用文本输入法设计带使能控制端的3/8译码器和 4/16译码器,并完成文本输入、编译、仿真。
长春理工大学 电工电子实验教学中心
数字电路EDA实验
404 EDA实验室
CUST
实验目的和基本要求
数字电路EDA实验是电子信息工程学院各个专业的一门必修课, 它是一门实践性很强的课程,是实践教学中不可缺少的重要教学环
节。
EDA实验使学生了解通过软件仿真的方法可以高效的完成硬件电 路设计的计算机技术,初步掌握自顶向下的设计方法、EDA设计流
1、学会较复杂数字电路系统的设计,熟悉 MAX+plusII并掌握用硬件语言描述有限状态机的方法。 2、学习并掌握基于MAX+plusII的电路系统多层次的 设计方法
二:实验内容
1、根据交通灯“红灯停,绿灯行,黄灯提醒”,按下 图要求,可以采用8个状态机来设计用Verilog或VHDL 完成上述交通灯控制器的设计,并进行功能仿真。
4'h5: outdata = 8'h92;
CUST
2、电路图
打开图形编辑窗,调出已设计的七段译码显示器和可逆计数 器元件,按图示电路连接,完成原理图