多功能数字时钟设计报告.

多功能数字时钟设计报告

学校:

学院:

班级:

姓名:

学号:

指导老师:

目录

1、引言 (3)

2、实验设计内容及要求 (3)

3、设计电路的用途及原理简介 (4)

4、单元电路设计原理 (5)

5、仿真全图 (9)

6、电路的调试说明 (10)

7、所遇到的问题及解决 (10)

8、实验总结和体会 (10)

9、附录(集成芯片引脚图和功能表) (11)

一、引言

(一)摘要:随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。

本实验要求设计一个数字计时器,可以完成0分00秒~11小时59分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分。

二、实验设计内容及要求

1、功能要求:

① 基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。

②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。

2、设计步骤与要求:

①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低;

②设计各单元电路,并用Multisim软件仿真;

③在通用电路板上安装电路,只要求显示时分;

④测试数字钟系统的逻辑功能;

⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。

3、给定的主要器件:

74LS00(4片),74LS160(4片)或74LS161(4片),74LS03(OC,1片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),发光二极管(2只),555(2片)。

4、仪器和设备:

稳压电源(或数字逻辑学习机),双踪示波器,数字万用表、数字通用板、拨线钳和电烙铁等。

5、参考文献:

[1]《电子技术基础课程设计指南》清华大学出版社,焦宝文主编;

[2]《电子线路设计大全》华中科技大学出版社,陈碗儿主编

[3]《数字电子技术基础》清华大学出版社,阎石主编

[4]《TTL集成电路大全》,电子工业出版社

三、设计电路原理简介:

1、设计原理:

电路由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。振荡器产生的脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,整体电路设计方框图

四、单元电路设计原理

1、秒脉冲发生器

2.校时电路

当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。为使电路简单,这里只进行分和小时的校准。校时可采用快校时和慢校时两种方式。校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。图3中C1、C2用于消除抖动。

图3 校时电路 3.用74160实现12进制计数器

5、定时控制电路

CLK

图2 用整体置零法构成的12进制计数器

进位 1

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

例如,要求上午7点59分发出闹时信号,持续时间为1分钟。

7时59分对应数字钟的时计数器的状态为(Q 3Q 2Q 1Q 0)H1=0111,分十位计数器的状态为(Q 3Q 2Q 1Q 0)M2=0101,分个位计数器的状态为(Q 3Q 2Q 1Q 0)M1=1001。若将上述计数器输出为“1”的所有输出端经过与门电路去控制音响电路‘可以使音响电路正好在7点59分响,且持续时间1分钟停响。所以闹时控制信号Y 的表达式为:

Y=(Q 2Q 1Q 0)H1(Q 2Q 0)M2(Q 3Q 0)M1

如果用与非门和集电极开路门电路实现,上式可改写为:

M103M202H1012)Q Q ()Q Q ()Q Q (Q Y

6、仿电台正点报时电路

仿电台正点报时电路的功能要求是:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出声响,以最后一声高音结束的时刻为正点时刻。

设4声低音(约500Hz )分别发生在59分51秒、53秒、55秒及57秒,最后一声高音(约1kHz )发生在59分59秒,它们的持续时间为1秒。

根据以上设定可得到电台正点报时时的分十位状态Q 2M2Q 0M2=11(0101),分个位的状态为Q 3M1Q 0M1=11(1001),秒十位状态为Q 2S2Q 0S2=11(0101),秒个位的状态为Q 0S1=1(1、3、5、7、9)。而发低音还是高音只与秒个位有关,根据设定可列表如表1所示:

由表中的状态可总结出如下结论:秒个位的第三位Q 3S1可用来作为鸣低音或高音的控制信号,即

Q 3s1=0时,输入500Hz 的低频信号至音响电路 Q 3S1=1时,输入1kHz 的高频信号至音响电路。

表1 正点报时状态功能表

Q 0

分十位 分个位

时十位

Q 1

Q 2

图5 定时控制电路

Q 500Hz

Q Q Q 秒个位Q 图5 整点报时电路

7.显示电路

仿真全图

六、电路的调试说明

1、检查电路

对照电路图检查电路器件是否连接正确,器件引脚、电容极

性、电源线、地线是否对接,连接是否牢靠,电源的数值与

方向是否符合设计要求。

2、按功能模块分别调试

按单元电路,把每一部分单元电路调试得正常工作,才把

它们连接成整机,然后在进行整体调试。

七、所遇到的问题及解决

1、由于先前已经计算好了脉冲,所以脉冲输出没有一点问题,

但是通电后发现时分针是58就进位了,才发现都是接的同

步清零的,而用的160是十进制的,因此不得不把分计数改

成整体置数的,因为思路比较清晰,要改的地方也比较简单,

所以一下子就改好了。

2、但是通电后发现问题又接着来了,58的时候就进位了,经

同学一提,才恍然大悟,原来160是下降沿触发,现在小时

用的是清零,分钟是用的置数,还没等到下一个脉冲就已经

进位了,于是在分向时的进位信号上再加了一个反相器,测

试后发现问题解决了。

八、实验总结和体会

1、本次实验其实电路不是很难,原理很清楚,但是遇到问题却

比想象中多,任何一点小错误都会让努力白费,所以细心,

耐心是一定要的。

2、数字电路复杂,因此需要我们连接时要有好的布局和

合理的布线规则,如将电源线,地线,传输线,暂时产生的线分

别开来,用不同的颜色,或者以单元电路的形式分开,为以后

查错或改进带来极大的方便.

3、在连接每一根线时,既要注意剥线的长短要适中,走线时

要成直线直角,使电路板连线清晰美观,最重要的是检查时

特别方便。

4.

八、附录(集成芯片引脚图和功能表)

1.译码器(74ls48)

2.计数器(74ls160)

(74ls160的外部引脚与74ls161

相同,这里以161的外部图表示

160.)

3.二入与非门。反向器(74ls00,74ls04)4、七段数码管

多功能数字时钟的设计eda课程设计报告-毕设论文

多功能数字时钟的设计 1 绪论 1.1设计目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA 技术,加深对计算机体系结构的理解。通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用数字钟的设计,巩固和综合运用计算机原理的基本理论和方法,理论联系实际,提高设计、分析、解决计算机技术实际问题的独立工作能力。 1.2设计要求 (1)熟练掌握VHDL语言的结构特点并能运用到具体实际中。 (2)学会利用复杂的可编程逻辑器件进行简单的电子系统设计。 (3)熟悉并掌握基于EDA实验开发系统设计实际问题的方法和步骤。 (4)通过设计过程提高自己运用所学知识来分析解决问题的能力。 1.3设计内容 本课程设计中使用Altera公司的EP2C35系列的FPGA芯片,利用SOPC-NIOSII-EP2C35开发板上的资源和QuartusII软件,实现一个多功能数字时钟。本设计的任务要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10秒,即从整点前10秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在 5秒LED开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00-00-00。扩展内容:用16*16点阵显示实现日期的动态显示用4×4键盘阵列键盘替换按键实现日期、时钟的调整,用液晶显示模块日期、时间的显示。 1.4设计环境 本次课题设计方要用到的开发环境是Altera公司的EDA设计工具软件QuartusII。

多功能数字钟的FPGA实现设计报告

可编程逻辑器件电路设计课程设计报告 多功能数字钟的FPGA实现 姓名: 班级: 学号: 指导老师: 日期:

摘要 FPGA( Field Programmable Gate Array,现场可编程门阵列),是20 世纪70 年代发展起来的一种可编程逻辑器件,是目前数字系统设计的主要硬件基础。 可编程逻辑器件的设计过程是利用EDA 开发软件和编程和编程工具对器件进行开发的过程。 本系统采用模块化的设计方式,重复使用的功能模块均以组件(Component)的形式存在,一边相关块的调用,主程序内用不同功能块构成一个完整的结构。将所有的组件收集在同一个程序包my_pkg中。 本设计主要使用了VHDL 语言,采用的FPGA 开发平台是Maxplus2,而设计一个多功能数字钟,在Maxplus2 开发平台下进行了编译、仿真、下载,实现了基本计时显示和设置、调整时间、报时和闹钟功能。 [关键词] FPGA;模块化;VHDL;Maxplus2;数字钟 i

目录 1设计任务及要求 (1) 1.1 设计任务 (1) 1.2 设计要求 (1) 1.3 功能拓展 (1) 2 方案比较与选择 (2) 3 底层文件仿真与分析 (3) 3.1 1024分频文件 (3) 3.2 二选一数据选择器 (3) 3.3 60进制计数器 (4) 3.4 24进制计数器 (4) 3.5 十进制转BCD模块 (5) 3.6 BCD转LED显示码 (5) 3.7 数码管动态扫描显示模块 (6) 3.8 闹钟报警模块 (6) 4 顶层文件仿真与分析 (8) 4.1顶层时钟设计输入输出图元 (8) 4.2 顶层文件仿真分析 (8) 5硬件验证分析 (10) 5.1 管脚分配 (10) 5.2 硬件调试 (11) 6课程设计心得 (12) Abstract (13) 参考文献·······················································································································附录(源代码) I

多功能数字时钟设计报告

题目:多功能数字钟设计 学校:广东石油化工学院 学院:机电工程学院 班级:装控09--2 姓名:黄亚冲 学号:16

一、设计任务 多功能数字钟电路设计 二、设计条件 74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个 三、设计要求 ①准确计时,以数字形式显示时、分、秒的时间。 ②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。 ③校正时间。 4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、 装配与调试。 四、设计内容 1.设计思想: 数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校时、校分、校秒。 图1、多功能数字钟系统组成框图 2.电路结构与原理图 (1)振荡器 振荡器可由晶振组成,也可以由555定时器组成。图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。

图2、晶体振荡器图3、555振荡器 (2)分频器的设计 分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。电路图见图4 图4、分频器

基于protues仿真的多功能数字时钟课程设计报告

课程设计报告 题目:数字时钟设计 学生姓名: xxxxx 学生学号: xxxxxxx 系别: xxxxxxxxxxxxxx 专业: xxx 届别: xxxx 指导教师: xxxx xxxxxx学院制 xxxx年xx月 数字时钟

学生:xxxx 指导教师:xxxxx xxxxxx学院 xxxx 1 课程设计的任务与要求 1.1 课程设计的任务 本设计主要研究数字时钟系统,对系统设备的软、硬件各个部分进行了研究。 1.2 课程设计的要求 要求通过数字时钟系统,对时钟的年、月、日、星期、时、分、秒进行调节。 1.3 课程设计的研究基础 熟悉并掌握Proteus及Keil uVision2的使用,学会应用已经学习过的知识,此次设计主要研究的是在单片机控制下工作的,以单片机组成的中央处理单元,来处理信号并发出控制命令,通过开关按键对时钟进行控制。 2 数字时钟系统方案制定 2.1 方案提出 方案一: 图2.1.1 51单片机控制系统原理框图 其工作原理为:本设计采用AT89C51单片机作为本次课程设计的控制模块。单

片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、时间和年份的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 方案二: 图2.1.2 52单片机控制系统原理框图 其工作原理为:本设计采用AT89C51单片机作为本次课程设计的控制模块。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,显示时间,通过按键进行控制。 2.2 方案比较论证 方案一是通过按键对时钟进行调节,没有年月日和星期的显示,同样也没有温度的显示。方案二同样是通过按键对时钟进行调节,但它具有年月日、星期、温度和时间的显示,功能比较全面,就如同万年历一样,可以让大家得到更多的信息。 2.3方案选择 由上述方案的比较论证,由于方案二太过于单调,所以我选择方案一,因为它功能比较全面。 3 数字时钟系统方案设计 3.1各单元模块功能介绍及电路设计 3.1.1、硬件设计总体原理图如下:

电子综合设计多功能数字钟报告(附完整程序代码)

[电子系统设计] 总结报告 题目:多功能数字钟 专业:电子信息科学与技术 班级:2010级2班 姓名:XXX 指导教师: 评分: 2012年11月19日

目录 1项目计划 (1) 1.1方案可行性 (1) 1.1.1市场可行性分析 (1) 1.1.2技术分析 (1) 1.1.3法律分析 (1) 1.2项目执行计划 (2) 1.2.1工程进度图 (2) 1.2.2工程进度表 (2) 1.2.3工程预算 (2) 2设计说明 (3) 2.1基本设计思想 (3) 2.2设计原理 (4) 2.3系统结构框图 (4) 2.4原理图 (5) 2.5各单元模块设计原理 (5) 2.5.1单片机最小系统 (5) 2.5.2数码显示模块 (6) 2.5.3闹铃模块 (7) 2.5.4接口和外部控制模块 (8) 2.5.5时钟模块 (8) 2.6各单元模块设计流程及部分程序代码 (9) 2.6.1各单元模块设计流程图 (9) 2.6.2部分程序代码 (11) 2.7时钟的操作流程 (11) 3调试说明 (12) 3.1生产工艺 (12) 3.2调试准备工作 (12) 3.3调试方法及步骤 (12) 3.4调试数据 (13) 3.4.1未安装芯片时的点参数 (13) 3.4.2安装芯片后的点参数 (13)

3.5调试结果 (14) 3.6注意事项 (14) 3.7可能遇到的问题及解决方案 (14) 4总结 (15) 5附录 (15)

1项目计划 1.1方案可行性 1.1.1市场可行性分析 多功能数字钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用,随着多功能数字钟在公共场合的应用和多功能数字钟数量的增多,多功能数字钟的功能和准确度更被人们所重视。本多功能数字钟属于多功能数字钟,具有功能多,成本低的特点,制作一个多功能数字钟所需材料成本约为17元,人工成本约6元,而一个多功能数字钟市场价约为60,每个多功能数字钟可净收入约37元,现在市场上数字钟的需求量大,生产商寥寥无几。 1.1.2技术分析 主控芯片我们选STC12C5A60S2,外接12MHZ的时钟晶,利用单片机的强推挽功能可以直接驱动数码管显示【2V以上为高电平,0.8V以下为低电平】。 时钟,我们选用DS1302来提供时钟数据显示器。显示器,我们选用四位数码管作为显示器。 闹铃,我们选用蜂鸣器作为闹铃,用8550PNP型三极管驱动并用I/O口P1.7来控制闹铃的开关。其工作方式是把闹铃时间不断地与DS1302的时钟时间对比以决定的闹铃工作与否。如果闹铃设置时间与时钟时间一致,则置P1.7为低电平,闹铃工作,否则不工作 倒计时和秒表工作原理基本一样,都是通过对中断次数的计数来设计的,当中断时间满10ms时,秒表小数位的第2位加一,同时中断时间清零;当秒表小数位的第2位满10时,秒表小数位的第1位加一,同时秒表小数位的第2位清零;当秒表的小数位的第1位满10时,秒表的小数位的第一位加一,同时秒表小数位的第2位清零;以此类推,完成秒表的设计 1.1.3法律分析 目前市场上的多功能数字钟功能简单,我们的多功能数字钟与其相比有很

数字时钟设计实验报告

数字时钟设计实验报告 数字时钟设计实验报告 引言: 在现代社会中,时钟是我们生活中不可或缺的一部分。无论是在家中、办公室还是在公共场所,我们都可以看到各种各样的时钟。随着科技的不断发展,数字时钟逐渐取代了传统的指针时钟,成为人们生活中的主流。本次实验旨在设计一个简单的数字时钟,通过实践来了解数字时钟的原理和工作方式。 一、实验目的 本次实验的主要目的是设计一个数字时钟,通过学习数字时钟的原理和工作方式,加深对时钟的理解,并提高对电子电路的实际操作能力。 二、实验原理 数字时钟是一种利用数字显示时间的设备,其核心部分是一个时钟芯片和数码管。时钟芯片负责计时和控制,而数码管则用于显示时间。时钟芯片通常由晶体振荡器、计数器、分频器和时钟控制电路组成。 三、实验材料和仪器 本次实验所需材料和仪器如下: 1. 时钟芯片 2. 数码管 3. 电阻、电容和晶体振荡器 4. 电路板和导线 5. 电源和示波器 四、实验步骤

1. 按照电路图连接电路板上的元件,确保连接正确无误。 2. 将时钟芯片插入电路板中,并连接晶体振荡器。 3. 将数码管插入电路板,并连接相应的引脚。 4. 连接电源和示波器,确保电路正常工作。 5. 调节示波器,观察时钟芯片的输出信号。 6. 调试电路,确保数码管能够正确显示时间。 五、实验结果和分析 经过调试和测试,我们成功设计出一个简单的数字时钟。通过示波器观察到时 钟芯片的输出信号,可以看到信号的频率和波形变化,进而控制数码管的显示。数码管能够准确地显示时间,实现了我们的设计目标。 六、实验心得 通过本次实验,我对数字时钟的原理和工作方式有了更深入的了解。通过亲自 动手搭建电路,我不仅加深了对电子电路的理解,还提高了对电路调试和故障 排除的能力。此外,我还学会了如何使用示波器观察信号波形,这对我今后的 学习和工作都具有重要意义。 结论: 本次实验成功设计出一个简单的数字时钟,通过实践加深了对数字时钟的理解 和对电子电路的掌握。通过亲自动手操作,我不仅学到了知识,还培养了动手 能力和解决问题的能力。数字时钟作为现代社会中不可或缺的一部分,我们应 该继续学习和探索,为时钟的发展做出更大的贡献。

数字时钟设计 课程报告

数字时钟课程报告 一、设计任务及要求 最终目的:利用protues软件仿真出的数字时钟设计,通过购买该成品所需的器件,根据protues上的仿真图将数字时钟实体化。设计一个走时准确、显示直观等优点的多功能数字时钟。 设计要求: 1.时间是以24小时为一个周期; 2.显示时、分; 3.秒是以LED灯跳动频率计数的; 4.具有校时功能,可以分别对时、分进行单独校时,使其校正 到标准时间; 5.具有开机清零功能; 设计任务: 1.画出电路原理图; 2.各种元器件的图以及功能; 3.接线与调试; 4.实验总结; 二、总体设计方案 数字时钟的组成电路框图如下图1所示: 主要分为显示电路、译码电路、计数器、校时电路、校分电路和脉冲产生电路。

图1.数字电路框图 三、器件选择

1. CD4511 CD4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点如下: 具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。 CD4511 是一片CMOS BCD—锁存/7 段译码/驱动器,引脚排列如下图2所示。其中A B C D 为BCD 码输入,a为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显

示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时,B1端应加高电平。另外CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。QA-QG是7 段输出,可驱动共阴LED数码管。另外,CD4511显示数“6”时,QA段消隐;显示数“9”时,QD段消隐,所以显示6、9这两个数时,字形不太美观。所谓共阴LED 数码管是指7 段LED 的阴极是连在一起的,在应用中应接地。限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。 图2 4511引脚图 2.74LS160 74LS160为十进制同步加法计数器,如图3所示。

多功能数字时钟设计报告剖析

题目名称:多功能数字时钟设计 姓名: 班级: 学号: 日期: 一、设计题目:多功能数字时钟设计 二、设计目的 (1)通过对课题设计方案的分析、选择、比较、熟悉单片机用系统开发、研制的过程,软硬件设计的方法、内容及步骤。 (2)培养针对课题需要,选择和查阅有关手册、图表及文献资料的自学能力,提高组成系统、编程、调试的动手能力; (3)提高综合及灵活运用所学知识解决工业控制的能力,培养动手能力和实际应用能力。

三、设计要求及主要技术指标 1.设计要求:时钟计时器要求用六位LED数码管显示时、分、秒,以24小时计时方式, 使用按键(或)开关可实现时分调整功能。 (1)方案论证,确定总体电路原理方框图。 (2)单元电路设计,元器件选择。 (3)仿真调试及测量结果。 2.主要技术指标 (1)、实现精确的时钟走动; (2)、时钟初始值可调,即手动调整时分秒,及年月日星期可调; (3)、在调整时分秒时不影响时钟正常走动; (4)、可设置闹铃,并到时间闹铃开启声音报鸣,可以手动取消闹铃。 一、方案论证与比较 1.1 方案提出 方案一:静态显示法实现LED显示器的数字显示; 方案二:动态显示法实现LED显示器的数字显示; 1.2设计方案的论证和选择 由于静态显示法需要数据锁存器等硬件,接口要复杂些,又考虑到时钟显示只 有8位,且系统没有其他复杂的处理任务,所以决定采用动态扫描法实现LED的显

示。单片机采用易购的AT89S52系列,这种单片机具有足够的空余硬件资源,可以实现其他的扩充功能。 二、系统的功能及设计框图 2.1 系统的全部功能、要求及技术指标 1.电子钟的格式为:XX—XX—XX ,由左向右分别为:时、分、秒。完成显示由秒01一直加1至59,再恢复为00;分加1,由00至01,一直加1至59,再恢复00;时加1,时由00加至23之后秒、分、时全部清清零。该钟使用T0作250us的定时中断。 2.走时调整:走时过程中直接调整且不影响走时准确性,按下K3键一次,进行时钟调整,对“时”显示进行调整,k4键按一下时加一,k5键按一下时减一;再按下k3键对“分”显示进行调整,k4键按一下分加一,k5键按一下分减一;再按下k3键“秒”显示进行调整,k4键按一下秒加一,k5键按一下秒减一;再按下k3键,则退出时钟调整。 3.闹时调整:按下k1键进入闹时调整,但不影响走时准确性,按下k2键对“时”显示进行调整(每按一次加1);再按下k2键对“分”显示进行调整(每按一次加1);再按一下k2键对“秒”显示进行调整(每按一次加1);闹铃启/停设定:通过模式设定键k2选择为闹铃启/停设定,k2键断开闹时功能启动;按下k2键闹铃功能关闭,也可在闹铃响起后终止闹铃。 4.年月日调整:在闹时调整后,再按下k1键,进行年月日调整,按下k2键进行年调整,再按下k2键进行月调整,再按下k2键进行日调整。 5.星期调整:在年月日调整后,再按下k1键,按下k2,进行星期调整。 2.2确定设计框图(系统包含的单元电路及结构)和总体设计方案

数字时钟设计报告

数字时钟设计报告 数字时钟是一种可以显示时间的电子设备。它可以显示小时、分钟和秒,并且可以按照24小时或12小时的格式显示时间。数字时钟常常在家庭、办公室和公共场所等地方使用,其设计需要考虑到易用性、精度、可靠性和美观性等方面。 本报告将介绍数字时钟的设计,包括设计目的、设计思路、硬件设计和软件设计,以及测试结果和最终设计的优缺点等方面。 设计目的 设计一个数字时钟,以满足以下需求: 1. 精度高:时钟需要准确地显示时间,并且保持时间的精度。 2. 易使用:时钟需要简单易用,按钮操作简单明了。 3. 显示美观:时钟需要提供清晰明亮的数字显示,以及美观的外观设计。 4. 可靠性高:时钟需要具有稳定的电路设计,防止电路失效或出现故障的情况。 5. 低功耗:时钟需要具有低功耗的特点,以便延长电池寿命或减少电费开支。 设计思路 数字时钟的设计需要考虑到硬件和软件两个方面。硬件方面需要设计电路板、显

示屏、时钟芯片、电源等部分,软件方面需要编写程序代码,以便控制电路板上的各模块进行工作。 我们的设计思路如下: 1. 硬件设计 显示屏:我们选择了四位共阴数码管,可以清晰地显示小时、分钟和秒。 驱动芯片:我们使用了MAX7219芯片来驱动数码管显示,该芯片可以提供高亮度输出、扫描控制以及LED引脚等功能。 时钟芯片:我们使用DS1302时钟芯片来保存和更新时间,该芯片可以提供秒计时、闹钟、电压监测等功能。 按钮:我们设置了四个按钮来控制时间的调整和闹钟的设置,方便用户使用。 2. 软件设计 我们使用了Arduino开发板来实现数字时钟的控制程序,程序主要包括以下功能:

eda多功能数字钟实验报告

eda多功能数字钟实验报告 EDA多功能数字钟实验报告 一、引言 数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。 二、设计原理 1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。 2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。 三、电路设计 1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。通过仿真验证电路的工作稳定性和准确性。 2. 功能模块设计:根据需求,添加相应的功能模块。时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。 四、电路实现 1. 元器件选取:根据电路设计需求,选择合适的元器件。时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和

触发功能。 2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。 3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。 五、仿真与测试 1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。通过仿真结果对电路进行调整和优化,确保其正常工作。 2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。 六、实验结果与分析 经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。其时钟电路稳定性和准确性良好,功能模块工作正常,满足设计要求。 七、结论 通过本次实验,我们成功设计并制作了一款多功能数字钟。通过EDA软件进行模拟和仿真,验证了电路的功能和性能。多功能数字钟具备准确的时间显示、日期显示、温度检测和闹钟设置等功能,为人们的生活提供了便利。 八、展望 虽然本实验中设计的多功能数字钟已经具备了基本功能,但仍有改进的空间。未来可以考虑添加更多的功能模块,如倒计时、闹钟铃声选择等,提升数字钟

多功能数字钟(EDA设计)实验报告

多功能数字钟 一、实验原理分析 通过晶振产生的50MHz的脉冲,用分频器进行分频产生1Hz 的脉冲信号,即作为时钟的1s的信号进行计数。 秒钟每计数60秒后产生进位使分钟显示加1,分钟满60循环至0。 为实现手动校准时刻功能,能够对分和秒计数器进行加减。 为实现校准时刻时候的闪烁,对数码管利用消隐,把数码管的接地端口接一个脉冲信号。 在实验进程中,要注意很多细节,比如进行按键消抖,手动调整时刻时可不能进位。 二、逻辑分析

三、功能模块分析 功能模块包括分频模块,时刻计数及校准模块,数码管译码显示模块、裁决模块和消抖模块 1.分频模块 该电路由多个70LS90通过度频将由晶振产生的50MHz分频为1Hz方波,供后续时钟电路利用。这一模块是整个电路的基础。 2.时刻计数及校准模块 该模块连接至分频模块的信号输出端,以分频模块产生的1Hz 方波作为基础。1Hz方波与秒同步,以秒为基础,别离实现电子钟中,分与时的运转,即1分钟=60秒,1小时=60分钟的循环运转。 为了修正电子钟在运行进程中产生的一些误差或其他以为错误,另设置校准功能,能够对电子钟的计时进行调整。其中,此模块的逻辑部份需Verilog语言实现并进行封装。此模块用到3个十进制计数器、2个六进制计数器和1个三进制计数器。 3.数码管译码显示模块 本电子钟采纳数码管来显示,能够简单、直观地表现出确切的时刻,实现其他配套功能。且数码管易于操作。此模块中有四个数码管,每两个数码管别离显示小时与分钟。由上一模块,即时刻计数及校准模块中的时刻计数器产生的数值,将其对应的七段码直接传送至相应的数码管译码显示。 4.裁决模块

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告 实验目的: 设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。 实验原理: 1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD 码方式输入。 2. 按键输入:使用按键进行时间的调节和选择功能。 3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。 实验器材: 1. 4位共阴极数码管 2. 按键开关 3. 74LS90分频器 4. 时钟晶体振荡器 5. 耐压电容、电阻等元件 6. 电路连接线 实验步骤: 1. 连接电路:根据电路原理图,将数码管、按键开关、 74LS90分频器、晶体振荡器等连接起来,注意接线正确。 2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。 3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。 5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。 实验结果: 经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。 实验总结: 通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

多功能数字时钟的设计报告

多功能数字时钟的设计 引言 现代社会科技飞速发展,人们生活节奏加快,时间就是金钱,时间就是生命。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 20世纪末,电子技术得到飞速发展,各类电子产品相继出 现在市场,电子产品主要朝着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。数字化电子产品已渗入到人类生活的方方面面。 单片计算机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多输入输出口于一体的微控制器。它体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而52 单片机是51单片机的升 级版,功能更强大。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。 基于以上发展与现实需要本次设计课题就是基于单片机的 设计-----基于单片机的多功能数字钟。数字钟是采用数字电路 实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品, 由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数 字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活 带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告 (理工类) 2021 至2021 学年度第二学期 课程名称多功能数字钟电路设计 系别班级电气系11级电子信息工程一班指导教师周旭胜 学号姓名耿王鑫1一、谷和伟12 贺焕13、黄兴荣14 解军1五、井波16 李丰17、李小飞18 梁富慧19

目录 一、设计要求及任务 ...................................................................................... 错误!未定义书签。 二、系统设计方案 ........................................................................................ 错误!未定义书签。 三、器件选择 ................................................................................................ 错误!未定义书签。 1、74LS160............................................................................................... 错误!未定义书签。 2、74LS107............................................................................................... 错误!未定义书签。 3、74LS90................................................................................................. 错误!未定义书签。 显示屏....................................................................................................... 错误!未定义书签。 四、六十进制“秒”计数器设计................................................................... 错误!未定义书签。 五、六十进制“分”计数器设计................................................................... 错误!未定义书签。 六、二十四进制“时”计数器设计............................................................... 错误!未定义书签。 七、分频网络的设计 ...................................................................................... 错误!未定义书签。 八、开关校时电路的设计............................................................................... 错误!未定义书签。 九、整点报时电路的设计............................................................................... 错误!未定义书签。 十、系统整体电路设计................................................................................... 错误!未定义书签。十一、改良意见及收成体会........................................................................... 错误!未定义书签。

数字钟设计报告总结

数字钟设计报告总结 随着科技的不断进步和发展,数字钟作为一种常见的时间显示工具,逐渐成为人们生活中不可或缺的一部分。数字钟的设计在满足时间显示功能的基础上,也越来越注重外观设计、功能特点等方面。本次设计报告总结了数字钟设计的一些关键要素和原则,同时对设计过程中的一些挑战和解决方案进行了分析和总结。 首先,数字钟的外观设计是吸引用户眼球和增强用户体验的重要因素。在设计过程中,我们注重选择简洁、现代的外观风格,以满足用户对美观的要求。颜色的选择方面,我们采用了明亮、鲜艳的颜色搭配,以增强数字钟的视觉吸引力。同时,通过简洁的字体和显示界面设计,提高数字钟的可读性和易操作性。在外观设计上,我们还考虑到数字钟的适应性,例如添加了亮度调节功能,以满足用户在不同环境下的需求。 其次,数字钟的功能特点也是用户选择的重要依据之一。在设计过程中,我们充分考虑了数字钟的实用性和创新性。实用性方面,我们保证了数字钟准确显示时间,并添加了闹钟功能,以满足用户日常生活中对时间的需要。同时,我们还加入了温湿度显示和天气预报功能,使数字钟具备更多的实用性特点。在创新性方面,我们采用了声控功能,使用户可以通过声音控制数字钟的各项功能。这种设计不仅具有科技感,还增加了用户的交互体验。 另外,数字钟的材质选择和制造工艺也对产品的质感和品质有很大影响。在材质选择方面,我们注重使用高质量的材料,如优质塑料和金属,以确保数字钟的耐用性和质感。制造工艺方面,我们采用了现代化的生产流程和技术,使数字钟在外观和性能上都达到了更高的水平。同时,我们还注重数字钟的可维修性,采用模块化设计,方便

用户更换和维修部件。 在设计过程中,我们也面临了一些挑战和问题,但通过团队的协作和创新思维,我们成功地解决了这些问题。其中,技术实现和成本控制是最主要的挑战之一。为了实现声控功能,我们利用先进的声波传感技术,并合理安排了数字钟的内部结构,以确保声控功能的可靠性。在成本控制方面,我们通过优化设计和合理采购,尽量降低了生产成本,使数字钟的价格具有竞争力。 综上所述,数字钟设计在外观、功能和品质等方面都有着重要的考虑因素。在本次设计报告中,我们从外观设计、功能特点、材质选择和制造工艺等方面总结了数字钟设计的一些关键要素和原则,并分析了设计过程中的挑战和解决方案。通过不断的创新和优化,我们相信数字钟将会在未来的发展中持续发挥重要作用,为人们的生活带来更多的便利和美好体验。最后,我们期待将来能够在数字钟的设计领域继续创新,为用户提供更加理想的产品。

多功能数字钟报告

多功能数字钟报告

《电子课程》课程设计 总结报告 题目:多功能数字时钟设计 日期:2014.7.14 目录 一·设计任务书------------------------------------------P4 1.1 课程总任务 --------------------------------------------------------------------P4 1.2 时钟功能 -----------------------------------------------------------------------P4 二·设计框图-----------------------------P5~7 2.1 实验图-----------------------------------P5~6 2.1.1 60进制计数器----------------------------------------------------------------P5 2.1.2 24进制计数器----------------------------------------------------------------P5 2.1.3 置数调校60进制计时器

---------------------------------------------------P6 2.2 仿真-------------------------------------P6~7 2.2.1 24进制计数器----------------------------------------------------------------P6 2.2.2 555接成脉冲触发器--------------------------------------------------------P6 2.2.3 X3/X5--------------------------------------------------------------------------P7 2.2.4 X5-------------------------------------------------------------------------------P7 三·各单元电路的设计方案及原理说明-------P8~11 3.1 整体思路---------------------------------P8 3.2 数字时钟的构成---------------------------P8 3.2.1 时间计数单元-------------------------------------------P8 3.2.2 译码及显示电路-----------------------------------------P8 3.2.3 时电源电路---------------------------------------------P8 3.2.4 整点报时-----------------------------------------------P8~P9 3.3 数字时钟电路设计-------------------------P9~10 3.3.1 秒脉冲电路-----------------------------------------

多功能数字钟课程设计报告

课题名称姓名 电子技术课程设计报告书学号院、系、部专业指 导教师 2016年6月12日

、设计任务及要求: 用中小规模集成芯片设计并制作多功能数字钟,具体要求如下: 1、准确及时,以数字形式显示时(00〜23)、分(00〜59)、秒(00 59)的时间。 2、具有校时功能。 指导教师签名: 2016年6月日 二、指导教师评语: 指导教师签名: 2016年6月日 三、成绩 指导教师签名: 2016年6月日

多功能数字钟课程设计报告 1 设计目的 一、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。 1、电路工作原理分析与原理图 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。又由于计数的起始时间不可能与标准时间 (如北京时间) 一致,故需要在电路上加一个校时电路。因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。由以上分析可得到原理框图如下图 图1 实验原理框图 2、元器件选择与参数计算 ( 1)晶体振荡电路:产生秒脉冲既可以采用555 脉冲发生电路也可以采用晶振脉冲发生电路。若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。 相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。 所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。从有关手册中,可查得C1、C2均为20pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20M Q o (2)分频器电路:分频器电路将32768Hz的高频方波信号经32768 ( 152)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。该电路可通过CD4060与双D触发器74LS74共同实现。 (3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器,而根据设计要求,时个位和时十位计数器 为24进制计数器。计数器可以使用十进制的74LS160 (4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。译码器可以使用 CD4511。 (5)校时电路:可以通过基本的门器件、电阻与开关实现。由设计的电路图可选择与非门74LS00。 (6)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,

多功能数字钟设计实验报告XilinxEDABasys2华中科技大学HUST

多功能数字钟设计实验报告 院系:电子与通信工程学院 :郭世康 班级:1301 学号:U202113639 指导教师:唐祖平

一、实验目标 掌握可编程逻辑器件的应用开发技术 ——设计输入、编译、仿真和器件编程 熟悉EDA软件使用 掌握Verilog HDL设计方法 分模块、分层次数字系统设计 二、实验容要求 根本功能 能显示小时、分钟、秒钟〔时、分用显示器,秒用LED〕 能调整小时、分钟的时间 提高要求 任意闹钟;〔1分〕 小时为12/24进制可切换〔1分〕 报正点数〔几点钟LED闪烁几下〕〔1分〕 三、实验条件 Xilinx工程环境,win7操作系统,BASYS2实验板。 四、实验设计 1.设计分析 数字钟大体上由2个60进制计数器,1个24进制计数器构成,中间有数据选择器进展连接。为实现提高功能,还需12进制计数和整点判断模块。下列图为数字钟层次构造图。

2. 实验原理 振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按24或12进制规律计数。计数器的输送译码显示电路,即可显示出数码〔即时间〕。计时出现误差时可以用校时电路进展校时和校分。小时显示〔12\24〕切换电路、仿电台报时、定时闹钟为扩展电路,只有在计时主体电路正常运行的情况下才能进展功能扩展。本实验采用Verilog HDL进展描述,然后用FPGA/CPLD实现,使用部50MHz 晶振作为时钟电路。 3. 逻辑设计 实现上述功能的Verilog HDL 程序如下。实现根本功能的程序分为两层次四个模块,底层有3个模块构成,即6进制计数器模块,10进制计数器模块和24进制计数器模块,顶层有一个模块,他调用底层的3个模块完成数字中的计时功能。 module timeclock(Hour,Minute,Second,CP,nCR,EN,Adj_Min,Adj_Hour,number,Light,clk,temp,c hange,AMTM,dingdong); output [7:0] Hour,Minute,Second; output [3:0] Light,temp; output [6:0] number;

相关主题
相关文档
最新文档