multisim仿真教程 病房呼叫系统的设计
病房呼叫系统课程设计实验报告
安徽科技大学数字电子技术课程设计题目: 病房呼叫系统**: **专业: 电子科学与技术班级: 112学号: **********指导教师:2013年06月15日安徽科技大学理学院病房呼叫系统一课程设计题目与实习目的课程设计题目:病房呼叫系统实习目的:1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。
二任务和要求要求:1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。
三总体方案的选择病房呼叫系统整体思路为:●LED指示灯显示病房模块●数码管优先显示重病房模块●蜂鸣器报警5秒模块首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器进行输入;再用共阴极七段数码管进行输出。
(3)进入第三模块,通过一个与非门对信号进行处理,连接周期T为10秒,占空比为50%的方波发生器与信号一同通过与门,控制输出信号可以持续5秒,使蜂鸣器呼叫5秒。
流程图如下:与非门蜂鸣器与门四单元电路的设计1.设计所使用的元件及工具:(1)L ED指示灯模块LED显示灯----------------------------------------- 5个;保护电阻R=500Ω------------------------------------5个;(2)数码管显示模块编码器74LS148D-------------------------------------1个;反相器74LS04D--------------------------------------3个;译码器74LS48D--------------------------------------1个;(3)蜂鸣器呼叫模块与非门74LS30D -------------------------------------1个;方波发生器 ---------------------------------------1个;与门7408D -----------------------------------------1个;蜂鸣器 --------------------------------------------1个;另外:逻辑双掷开关5个;电源VCC、接地GND、导线若干。
病房呼叫系统(数字逻辑电路)
引言本设计的主要目的是实现一个当医院发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。
用于医院病房需要呼叫具有优先级别的呼叫系统。
当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。
另外在产生信号的同时系统会显示呼叫病人的病房编号。
这样医护人员可以根据呼叫信号的优先级别及时对每一位呼叫病人进行救治。
其中,医院可以对病人的病情的进行编号,病情最严重者具有最高级别的优先权,依次降低。
此呼叫系统的使用能让医院出现紧急状况时临危不乱,不仅使医护人员能够对病人的病情有一定的了解,还能对病人的突发情况进行及时有效治疗,让救护工作紧张有序的进行。
同时,医院可以在有本系统的情况下,更加合理有效的安排值班的医护人员,为医院节省大量人力、物力、财力。
本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。
由呼叫信号的输入,74LS148优先编码,由74LS48译码显示和逻辑控制清除几部分构成,其核心在74LS148优先编码器。
本题目选择的目的是为了更好的为病人进行护理工作,对病情严重优先处理。
本设计主要是采用数字、模拟电路的一些基础原件来完成,结构简单,安装方便,价格低廉来完成病房紧急呼叫系统,当有多个呼叫时,病情严重的优先。
此设计能让普通的乡村医院使用,价格便宜,节约人力、财力资源,能更好的体现医院的本质---救死扶伤,为人民大众服务。
1 课程设计总思路根据数字电路知识,利用优先编码器、显示译码器和555,74LS48,74LS30等电路元件实现各项1.1 设计题目病房呼叫系统。
1.2 设计要求(1).用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;(2).用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);(3).凡有呼叫发出5秒的呼叫声;(4).对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。
基于multisim的病房呼叫器设计仿真
基于multisim的病房呼叫器设计仿真作者:王鑫荣来源:《中国科技博览》2013年第23期摘要:在电子技术课程改革中,以项目为载体,以学生为主体,将现代信息技术与课程结合,建立了基于Multisim11的病房呼叫器电路仿真模型,分析了仿真结果。
仿真和分析表明设计方案合理。
教学实践证明,病房呼叫器电路具有典型代表性,通过实施项目教学法,激发了学生的学习兴趣和学习热情,最大程度的发挥学生的主观能动性,达到了提高教学质量的目的。
关键词:Multisim;仿真;病房呼叫器;电子技术中图分类号:TP23 文献标识码:A 文章编号:1009-914X(2013)23-405-01引言Multisim最初是由加拿大IIT公司推出的电子线路仿真软件。
从Multisim2001开始到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim11版本改由美国国家仪器公司(NI 公司)推出。
Multisim可以对电工电路、模拟电路、数字电路、单片机电路等电路进行仿真,克服了传统电子产品的设计受实验室客观条件限制的局限性,用虚拟的元件搭建各种电路,用虚拟的仪表进行各种参数和性能指标的测试,因此降低了实验成本,节省实验时间,提高了实验效率。
在电子工程设计和电子类教学领域中得到广泛应用。
《电子技术》是电子、信息专业的一门非常重要的必修专业基础课,其理论性和实践性都很强。
它讲述的是电子技术中最基本的理论和最常用的电子线路。
它担负着普及电子技术基础知识和为后续专业课程打基础的双重任务。
其涉及内容多而又抽象,更新发展快。
学生对该课程的学习态度和质量将直接影响到学生对后续课程的学习以及将来在相关领域思维素质的形成和潜能的发挥。
本文通过实施项目教学,让学生主动参与到教学中来,以激发他们的学习兴趣和学习热情。
以病房呼叫器为例,借助Multisim仿真软件辅助电路设计,让学生确定设计方案,通过软件仿真进行测试分析,调试、修改和完善自己设计的电路,在实验平台上搭接具体电路进行实验,验证成功后,再做出实际的硬件电路,从而激发他们的创新意识。
基于vhdl和multisim的病房呼叫系统数电课设
基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。
本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。
1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。
系统由患者端和护士端组成。
患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。
当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。
2. 系统设计系统的设计基于VHDL和Multisim。
VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。
2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。
呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。
2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。
我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。
我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。
数字电路课程设计病房呼叫系统
课程设计报告设计题目:病房呼叫系统摘要本设计完成的是病房呼叫系统的功能。
该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。
呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。
采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。
这些模块共同工作完成本电路的功能实现。
本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。
这些模块共同工作完成本电路的功能实现。
其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用555定时器来实现呼叫模块。
本论文阐述了如何利用数字电路的思想,以及应用MULTISIM7仿真软件设计病房呼叫系统,测试,并实现其功能。
关键词:病房呼叫系统,主从结构,数字电路目录摘要 (1)第1章概述 (3)第2章课程设计任务及要求 (4)2.1设计任务 (4)2.2设计要求 (4)第3章系统设计 (4)3.1方案论证 (4)3.2系统设计 (5)3.2.1结构框图及说明 (5)3.2.2系统原理图及工作原理 (7)3.3单元电路设计 (9)3.3.1单元电路工作原理 (9)3.3.2元件参数选择 (16)第4章软件仿真 (17)4.2仿真过程 (18)4.3仿真结果 (19)第5章安装调试 (22)5.1安装调试过程 (22)5.2 故障分析 (23)第6章结论 (24)6.1对于病房呼叫系统的仿真设计 (24)6.2对于数字式抢答器的安装调试 (24)第7章使用设备仪器清单 (25)参考文献 (25)收获、体会和建议 (25)第1章概述病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。
基于Multisim10.0的病房呼叫系统的设计
基于Multisim10.0的病房呼叫系统的设计摘要随着计算机技术飞速发展,电路设计可以通过计算机辅助分析和仿真技术来完成。
计算机仿真的应用,代替了大包大揽的试验电路,大大减轻验证阶段的工作量;其强大的实时交互性、信息的集成性和生动直观性,为电子专业教学创设了良好的平台,并能保存仿真中产生的各种数据,为整机检测提供参考数据,还可保存大量的单元电路、元器件的模型参数。
采用仿真软件能满足整个设计及验证过程的自动化。
Multisim适用于板级的模拟/数字电路板的设计工作。
本文在Multisim软件环境下设计并分析一种病房呼叫系统。
AbstractAlo ng with the computer technology’s rapid developing, circuit design can be completed with the help of computer aided analysis and simulation technology. Instead of the test circuit mode, the application of computer simulation technology greatly reduce the workload of authentication phase ; and its powerful real-time interactive, information compositive and vivid visual create a good platform for electronic professional teaching. Besides, it can save simulation to produce a variety of data as well as provide the reference data for the detection and save a lot of unit circuit, components of the parameters for the model. Using simulation software to meet the whole design and validation process automation.Multisim apply to board level analog/digital circuit board design work. This paper in Multisim software environment design and analysis of a ward call system.目录摘要 0Abstract 01、Multisim10.0系统简介 (2)1.1什么是Multisim (2)1.2 Multisim的特点 (2)2、病房呼叫系统的设计 (3)2.1设计要求 (3)2.2设计原理分析 (3)3、总结体会 (6)参考文献 (8)1、Multisim10.0系统简介1.1什么是MultisimMultisim是美国国家仪器(NI)有限公司[1]推出的以Windows为基础的仿真工具,是一个专门用于电子线路仿真与设计的EDA 工具软件。
病房呼叫系统带计时器
摘要本设计完成的是病房呼叫系统的功能。
监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示床号。
采用模块设计思想,本系统分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示器,以及呼叫计时模块。
这些模块共同工作实现装置功能,其中用到8线—3线优先编码器74LS148来实现优先选择模块的主要功能,运用小规模逻辑门电路,7段字形译码器74LS47D和共阴极气短数码来实现译码显示模块主要功能,蜂鸣器来实现呼叫模块。
本说明阐述了如何利用数字电路的思想,以及应用multisim12仿真软件设计病房呼叫系统,测试并实现其功能。
关键词:病房呼叫系统,主从结构,数字电路目录第一章课程设计任务及要求 - - - - - - - - - - - - - - - - - - 11.1设计任务 - - - - - - - - - - - - - - - - - - - - - - - 11.2设计要求 - - - - - - - - - - - - - - - - - - - - - - - 11.3设计目的 - - - - - - - - - - - - - - - - - - - - - - - 1第二章系统设计 - - - - - - - - - - - - - - - - - - - - - - 12.1系统原理图及工作原理 - - - - - - - - - - - - - - - 12.2单元电路分析设计 - - - - - - - - - - - - - - - - - - 22.2.1单元电路工作原理 - - - - - - - - - - - - - - - - - - 2第三章软件仿真 - - - - - - - - - - - - - - - - - - - - - - 43.1仿真结果分析 - - - - - - - - - - - - - - - - - - - - - - 4第四章结论- - - - - - - - - - - - - - - - - - - - - - - - - - 6 第五章使用设备仪器清单 - - - - - - - - - - - - - - - - - - 6心得体会 - - - - - - - - - - - - - - - - - - - - - - - - - - 7 参考文献 - - - - - - - - - - - - - - - - - - - - - - - - - - 7第一章课程设计任务及要求1.1设计任务设计一个病房呼叫系统1.2设计要求医院有号病室一、二、三、四,每个房间装有呼叫按钮,一号病室的呼叫优先权最高,四号病室最低。
基于multisim的病床呼叫电路仿真设计与研究
基于multisim的病床呼叫电路仿真设计与研究
一、引言
在医院病房中,病人需要随时呼叫护士或医生,因此病床呼叫电路是
必不可少的。
本文将介绍基于multisim的病床呼叫电路仿真设计与研究。
二、病床呼叫电路的组成
1.按钮:放置在患者手边,用于患者发出呼叫信号。
2.指示灯:放置在护士站台上,用于显示哪个床位发出了呼叫信号。
3.报警器:放置在护士站台上,用于发出声音提示护士前往相应的床位。
三、基于multisim的病床呼叫电路仿真设计
1.电源模块:使用直流电源模块为整个电路提供稳定的电源。
2.按钮模块:使用开关模块作为按钮,并将其连接到一个555定时器
模块中。
当按钮被按下时,555定时器会输出一个高电平信号。
3.指示灯模块:使用LED灯作为指示灯,并将其连接到一个74HC595移位寄存器模块中。
当555定时器输出高电平时,74HC595移位寄
存器会将对应的LED灯点亮。
4.报警器模块:使用蜂鸣器作为报警器,并将其连接到一个LM358运算放大器模块中。
当555定时器输出高电平时,LM358运算放大器会输出一个高电平信号,使蜂鸣器发出声音。
四、病床呼叫电路仿真结果分析
在multisim中进行仿真后,可以得到以下结果:
1.当按钮被按下时,指示灯会点亮,并且报警器会发出声音。
2.当按钮被松开时,指示灯和报警器都会停止工作。
五、总结
本文介绍了基于multisim的病床呼叫电路的设计和仿真。
通过该电路的设计和仿真,可以实现病人随时呼叫护士或医生的目的,从而提高了医院病房管理的效率。
数 电 基 础 课 程 设 计-病房呼叫系统
总电路图:¸并且要求当“l”号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即l号病室的优先级别最高,其他病室的级别依次递减,7号病室最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的号码即为当前相对优先级别最高的病室呼叫的号码,同时在有呼叫的病房门口的指示灯闪烁。
待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。
全部处理完毕后,即没有病室呼叫,此时值班室的数码管熄灭。
例如:(1)闭合开关2,数码管显示“2”,并且蜂呜器SP令计算机上的扬声器发声。
¸(2)闭合开关2、4、7,由于病房的优先级从高到低依次为1、2、3、4、5、6、7,所以数码管显示2.二、设计原理分析:(2)本设计中采用了8/3优先编码器74LS148N,74LS148N有8个数据端(0---7),3个数据输出端(A0---A1),1个使能输入端(EI:低电平有效),两个输出端(GS、EO)。
数据输出端A---C根据输入端的选通变化,分别输出000---111这0---7二进制码,经逻辑组合电路与74LS47D七段译码器/驱动器的数据输入端(A---C)相连,最终实现设计要求的电路功能,电路如图所示。
电路中异或门74LS86D 的输出端与74LS47D七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。
X1X2X3X4X5X6X7优先编码器74ls148功能表>使能端OE(芯片是否启用)的逻辑方程:OE =I0·I1·I2·I3·I4·I5·67·IE当OE输入IE=1时,禁止编码、输出(反码): A2,A1,A0为全1。
当OE输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:I6,I5,I4,I3,I2,I0,I0等级排列。
电子线路课设报告病人呼叫大夫的电路设计、加法电路的设计、用74ls90实现十进制计数器的设计与制作
目录1 引言 (3)2 Multisim9简介 (4)2.1 Multisim特点 (4)2.2 Multisim9简介 (4)3 电路设计 (5)3.1病人呼叫大夫的电路设计 (5)3.1.1设计任务 (5)3.1.2实验原理及思路分路 (5)3.1.374ls148编码器 (5)3.1.4电路图及仿真结果 (6)3.2加法电路的设计 (6)3.2.1设计任务 (7)3.2.2实验原理及框图 (7)3.2.3电路图及仿真结果 (7)3.3用74ls90实现十进制计数器的设计与制作 (8)3.3.1设计任务 (8)3.3.2 74ls逻辑功能 (9)3.3.3电路仿真 (9)3.4数码管显示控制电路的设计 (11)3.4.1设计任务 (11)3.4.2实验原理及设计分析 (11)3.4.3电路图及仿真结果 (13)3.5灯控电路的设计 (14)3.5.1设计任务 (14)3.5.2电路分析及原理框图 (15)3.5.3电路仿真 (15)3.6 直流稳压源的电路设计 (17)3.6.1设计任务 (17)3.6.2实验原理及思路分析 (18)3.6.3电路仿真 (18)4 总结和体会 (20)致谢 (21)参考文献 (22)1 引言1.1引言随着时代的发展,计算机技术在电子电路设计中发挥着越来越大的作用。
传统的电子线路设计开发,通常需要制作一块试验板或在面包板上来进行模拟实验,以测试是否达到设计指标要求;并且需要反复试验、调试,才能设计出符合要求的电路。
这样做,既费时又费力,同时也提高了设计成本;另外,因受工作场所、仪器设备等因素的限制,许多试验(例如理想化、破坏性的实验)不能进行。
随着计算机硬件与软件的发展,解决以上问题的计算机仿真技术应运而生。
利用计算机仿真软件,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出版印刷板的整个过程在计算机软件上自动处理完成。
基于multisim的病床呼叫电路仿真设计与研究
基于multisim的病床呼叫电路仿真设计与研究##引言随着医疗技术的不断发展,病房内的设备也不断更新和改进。
其中,病床呼叫系统对病人的护理起到了至关重要的作用。
为了提高病床呼叫系统的效率和可靠性,本文将利用multisim软件进行病床呼叫电路的仿真设计与研究。
电路设计原理病床呼叫电路主要包括三个部分:传感器、信号处理电路和呼叫设备。
传感器用于检测病人的需求信号,如按下按钮或拉动拉杆等;信号处理电路负责对传感器信号进行放大和滤波等处理;呼叫设备则负责向医护人员发送呼叫信号。
仿真设计步骤1. 传感器设计传感器是病床呼叫电路的输入端,负责检测病人的需求信号。
常用的传感器包括按钮传感器和拉绳传感器等。
我们将以按钮传感器为例进行仿真设计。
2. 信号处理电路设计信号处理电路负责对传感器信号进行放大和滤波处理,以保证呼叫信号的稳定性和可靠性。
在multisim软件中,我们可以利用模拟电子元件进行信号处理电路的设计。
3. 呼叫设备设计呼叫设备负责向医护人员发送呼叫信号,常见的呼叫设备包括蜂鸣器和LED指示灯等。
我们需要设计合适的电路来实现呼叫设备的功能。
4. 整体电路设计与调试将传感器、信号处理电路和呼叫设备连接起来,形成完整的病床呼叫电路。
在multisim软件中,我们可以利用连接线将各个电路模块进行连接,然后进行调试和优化。
仿真结果与分析经过仿真调试,我们得到了稳定可靠的病床呼叫电路。
通过按钮传感器的触发,电路能够快速响应并发送呼叫信号,同时呼叫设备能够及时提醒医护人员。
优化改进在实际应用中,我们可以根据具体需求进行电路的优化改进。
例如,可以添加延时功能,以避免误触发;还可以增加无线传输模块,将呼叫信号发送到医护人员的移动设备上。
结论本文基于multisim软件进行了病床呼叫电路的仿真设计与研究。
通过设计合理的传感器、信号处理电路和呼叫设备,我们成功地实现了稳定可靠的病床呼叫系统。
同时,我们也提出了一些优化改进的思路,以进一步提高系统的性能和功能。
病房呼叫系统的设计
开放实验报告题目:病房呼叫系统的设计系别:专业:学号:姓名:指导教师:一、实验目的:1、在对数电知识理解的基础上,进行更高层次的设计实验,在教师指导下独立查阅资料、设计、在multisim中设计出电路图并进行仿真。
2、利用学过的知识,解决电子线路中常见实际问题,逐步积累掌握实际电子制作经验。
二、实验要求:1.呼叫功能:能实现5个病床对护士站的呼叫,病人有情况时,按一下自己床位边的呼叫按键,就能呼叫护士,用5个开关模拟5个病房,5号优先级最高。
2.显示功能:有病床呼叫时,护士站的数码管显示器上会显示相应的床位号,多个信号输入,只显示优先级高地号码,其用LED显示。
;无呼叫时显示器上显示0;3.报警功能:有病床呼叫时,护士站的喇叭会发出一响一停的报警声,同时,数码管显示器上显示的床位号会与报警声同步闪烁。
三、电路原理以优先编码器为核心电路,配合译码器(对信号进行显示)、555多谐振荡电路以及相关的逻辑门电路,组合为一个可以对每个输入信号进行编码、译码、显示的电路,从而实现所需功能要求。
具体原理如下:用5个拨动开关来模拟5个病房的呼叫输入信号,5号优先级最高,其他号码优先级依次降低。
用一个七段数码管显示呼叫信号的号码;没信号呼叫时显示0,有一个信号呼叫时显示相应号码;当有多个信号呼叫时,显示优先级最高的呼叫号码(其它呼叫号码用指示灯来显示);以8输入3输出的优先编码器74LS148作为核心电路,将5个病房的呼叫信号编码并按照优先级输出。
输出的信号经反相器反向送至数码管驱动芯片74LS48的低三位(最高位直接接地),从而基本完成呼叫信号的数码管显示功能。
用555定时器组成多谐振荡器,将震荡信号送至蜂鸣器发声。
由74LS148的输出使能端EO控制555定时器的复位输入端,当编码器有输入信号(按键按下)时,EO为低电平,传至复位输入端,555定时器振荡电路此时产生振荡信号,是蜂鸣器发声,实现有按键按下就报警的功能。
基于vhdl和multisim的病房呼叫系统数电课设
基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统在医疗机构中起着至关重要的作用,它能够匡助患者及时呼叫医护人员,提供及时的医疗服务。
本文将介绍一种基于VHDL和Multisim的病房呼叫系统的设计方案。
1. 系统概述病房呼叫系统由多个呼叫器和一个中央控制器组成。
每一个呼叫器都可以被患者使用,通过按下按钮来呼叫医护人员。
中央控制器接收呼叫信号并显示患者所在的房间号码,同时发出声音和光信号以通知医护人员。
2. 系统硬件设计2.1 呼叫器每一个呼叫器由一个按钮、一个显示屏和一个呼叫信号发生器组成。
按钮用于患者按下呼叫,显示屏用于显示房间号码,呼叫信号发生器用于产生呼叫信号。
呼叫信号发生器可以采用VHDL语言进行设计,通过编程实现呼叫信号的产生。
2.2 中央控制器中央控制器由一个显示屏、一个声音发生器和一个光信号发生器组成。
显示屏用于显示患者所在的房间号码,声音发生器用于发出声音信号,光信号发生器用于发出光信号。
中央控制器可以采用Multisim软件进行设计,通过电路连接和编程实现功能。
3. 系统软件设计3.1 VHDL编程使用VHDL语言编写呼叫信号发生器的程序。
程序应包括以下功能:- 接收按钮信号,判断是否有呼叫请求。
- 如果有呼叫请求,产生呼叫信号。
- 将房间号码显示在显示屏上。
3.2 Multisim编程使用Multisim软件设计中央控制器的电路连接和编程。
程序应包括以下功能:- 接收呼叫信号,判断呼叫的房间号码。
- 将房间号码显示在显示屏上。
- 发出声音信号和光信号,通知医护人员。
4. 系统测试完成硬件设计和软件设计后,需要对系统进行测试以确保其正常工作。
测试应包括以下方面:- 摹拟按钮按下,检查呼叫信号的产生和显示屏的显示是否正确。
- 摹拟中央控制器接收呼叫信号,检查显示屏、声音发生器和光信号发生器是否正常工作。
5. 系统优化在测试过程中,如果发现系统存在问题或者不足之处,可以对系统进行优化。
数字电路课程设计——病房呼叫系统
东北大学秦皇岛分校电子信息系数字电路课程设计病房呼叫系统专业名称计算机科学与技术班级学号4080517学生姓名张树茂指导教师李志华设计时间2009.12.18~2009.12.26课程设计任务书专业:计算机科学与技术学号:4080517学生姓名(签名):设计题目:一、设计实验条件电路仿真实验室二、设计任务及要求1.课程设计任务《数字电路》课程设计是继“数字电路”课后开出的实践环节课程,其目的是训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路能力,设计建立在硬件和软件两个平台的基础上。
硬件平台是可编程逻辑器件,所选的器件可保存在一片芯片上设计出题目要求的数字电路。
软件平台是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT 公司)推出的Multisim10.0,通过课程设计,学生要掌握使用EDA(电子设计自动化)工具设计数字电路的方法,包括设计输入便宜软件仿真下载及硬件仿真等全过程。
2.课程设计要求通过课程设计学生应掌握设计所用硬件电路的工作原理,EDA软件的使用方法,能够熟练地利用EDA设计、调试数字电路系统,独立完成设计安装、测试全过程,具体要求:1.设计一个病房呼叫系统,该系统能根据不同的呼叫激励不同的振铃;2.设计一个显示电路用于显示病人的床号;3.该系统具有优先权设定功能;三、设计报告的内容1.总体方案选择设计电路第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。
设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡个方案的优缺点,从中选优。
最开始我设计的方案一是使用74LS148N和74LS00N以及反相器输出结果,但是这种方案给显示带来了麻烦,所以舍弃了这种方案。
在方案一的基础上我保留了74LS148N和74LS00N的组合,然后使用七段译码显示器及其驱动芯片完善显示部分,形成了最终的方案:图1 最终方案总体电路图原理:1.1.通过电路系统可根据不同的呼叫激励不同的响铃,在此图中由七段共阴数码管输出不同的数字代替激励不同的响铃,实现此功能的电路部分为:图2 实现一个病房具有不同呼叫功能的电路图对于一个病房的不同呼叫,利用74LS148N优先编码器对呼叫信号(输入低电平)进行优先编码,把较为重要的呼叫内容与编号较大的引脚相连,当有呼叫信号(输入低电平)时,74LS148N会把优先级较高的信号编码成二进制信号输出。
病房紧急呼叫系统
东莞理工学院电子工程学院数字电子技术课程设计实验报告医院病人紧急呼叫系统09电子信息工程(机器人)1班胡亚伟学号:200941308103实验板编号:0912011/6/25本文详细叙述了本人自行设计的医院病人紧急呼叫系统在原理、结构、连接以及功能等方面的特性。
目录一、选题意义 (1)二、整体设计思想 (4)三、设计过程 (1)(一)Multisim仿真软件介绍 (2)(二)模块化设计 (1)1. 病房呼叫电路 (2)2. 核心编码电路 (5)3. 针对性复位电路 (3)(三)系统完成电路图 (5)四、电路实物连接说明 (1)五、课程设计总结 (4)附录 (1)元件清单 (2)参考文献 (5)一、选题意义本次本人选择的课程设计的题目是医院病人紧急呼叫系统。
类似系统在目前的医院里已经十分常见,几乎所有的病房里都配备的这样的紧急呼叫系统。
这些系统虽然出自不同的生产商,但往往都具备这样一些共同点,如:当病人呼叫时都可产生声或者光等信号提示并显示病人的编号、根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。
这个系统是十分实用并且高效的,因而,这个课程设计题目是接近现实应用的,对于一个理工科的学生来说是有相当实际意义的,而且这个设计题目也是有趣的,是值得花时间甚至是大量时间反复推敲琢磨的。
二、整体设计思想根据老师的设计要求,本题目有三个基本要求: 1.当病人紧急呼叫时,产生声、光提示,并显示病人编号。
2. 根据病人病情设置优先级别,当有多人呼叫时,病情严重者优先。
3.医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫的病人的编号。
结合我所学知识,我进行了初步的分析,确定了基本的设计思想:以编码器为核心电路,配合触发器(进行信号锁存) 、译码器(对信号进行复位)以及相关的逻辑门电路,组合为一个可以对每个信号进行编码、显示、译码的电路,从而达到设计所要求的功能。
具体叙述如下: 1.用四个轻触开关来模拟四个病房的呼叫输入信号,4号优先级最高,依次降低。
基于Multisim10软件的病房呼叫系统的设计 (1)
2014年第9期总第193期ISSN1672-1438CN11-4994/T现代教育技术与装备基于Multisim10软件的病房呼叫系统的设计郭金玉 幺旭东沈阳化工大学 辽宁沈阳 110142摘 要:Multisim 软件用软件的方法虚拟电子与电工元器件和仪表,是一款用于原理电路设计、电路功能测试的虚拟仿真软件。
病房呼叫系统的设计是数字电子技术课程中一个典型的综合应用电路。
以病房呼叫系统设计为例,介绍Multisim10在综合应用电路仿真设计中的应用。
给出了运用Multisim10软件绘制的电路原理图和仿真结果。
关键词:病房呼叫系统;Multisim10;优先编码器Design of ward calling system based on Multisim10Guo Jinyu, Yao XudongShenyang University of Chemical Technology, Shenyang, 110142, ChinaAbstract: Multisim software is a virtual simulation software of circuit design and circuit function test with the software method of virtual electronic and electrical components and instruments. The design of ward calling system is a typical application circuit in digital electronic technology course. This paper introduces the application of Multisim10 in the design of comprehensive application of circuit simulation as an example of designing ward calling system. This paper presents the circuit diagram and simulation results of using Multisim10 software.Key words: Ward calling system; Multisim10; priority encoder收稿日期:2013-11-14作者简介:郭金玉,博士,副教授,教研室主任。
数电课程设计——病房呼叫系统
数电课程设计——病房呼叫系统学校:学院:班级:姓名:组员:学号:前言临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,并在值班室的监控中心电脑上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。
呼叫系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。
它要求及时、准确、可靠、简便可行、利于推广。
本设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。
呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。
监控机构和呼叫源之间通过电线连接在一起。
本论文阐述了病房呼叫系统的基本组成以及一些相关的硬件设计,设计要求:1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理仿真软件简要介绍本次课程设计我们主要使用Multisim 10来进行仿真。
Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
Multisim软件有许多版本,这次我们选择Multisim 10是因为该版本比较普遍,兼容性也较强。
硬件设计电路总体结构:图1 电路总体结构本设计采用了74LS148D 、74LS47D、74160及各种们电路。
通过开光1、2、3、4、5的开闭点亮指示灯并给优先编码器74LS148D送码,优先编码器74LS148D将其编码后再传送给74LS47D,74LS47D再将其翻译出来,传送给数码管。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
本例在设计中采用了8-3优先编码器 74LS148,74LS148有8个数据端(0~7),3个数据
输出端(A0~A1),1个使能输入端(EI:低电
平有效),两个输出端(GS、E0),其功能请看 8.2节中的表8.2.1 74LS148的功能表。数据输 出端A~C根据输入端的选通变化,
分别输出000~111这0~7二进制码,经逻辑
组合电路与74LS47BCD-七段译码器/驱动器
的数据输入端(A~C)相连,最终实现设计
要求的电路功能,电路如图12.4.1所示。电 路中与门74LS08D的输出端(3、6、8)与 74LS47D BCD-七段译码器/驱动器的数据输 入端的数据端(A、B、C)连接。
图12.4.1 病房呼叫系统电路
指示灯即闪烁发光,同时护士值班室的数码管
即显示相对最高优先级别的病房号,而且峰鸣 器SP会令计算机上的扬声器发声。
12.4 病房呼叫系统的设计
本例设计了某医院有7个病房房间,每间 病房门口设有呼叫显示灯,室内设有紧急呼叫
开关,同时在护士值班室设有一个数码显示管,
可对应显示病室的呼叫号码。
现要求当一号病房的按钮按下时,无论其
他病室的按钮是否按下,护士值班室的数码显
示“1”,即“1”号病室的优先级别最高,其
此例仿真可在Multisim的主界面下,启动
仿真开关即可进行电路的仿真。在图12.4.1中
K1~K7为病房呼叫开关,在其下方的Key=1~
Key=7分别表示按下键盘上1~7数字键即可控制 相应开关的通道。
ห้องสมุดไป่ตู้
L1~L7为模拟病房门口的呼叫指示灯,当呼叫
开关K1~K7任何开关被按下时,相应开关上的
他病室的级别依次递减,7号病室最低,当7个 病房中有若干个请求呼叫开关合上时,护士值
班室的数码管所显示的号码即为当前相对优先
级别最高的病室呼叫的号码,
同时在有呼叫的病房门口的指示灯闪烁。
待护士按优先级处理完后,将该病房地呼叫开
关打开,再去处理下一个相对最高优先级的病
房的事务。全部处理完毕后,即没有病室呼叫, 此时值班室的数码管显示“0”。