数字钟电路设计(闪烁整点报时)(数电)
整点报时电路
![整点报时电路](https://img.taocdn.com/s3/m/c5c5202b4b73f242336c5fbe.png)
(二) “12翻1”小时计数器电路
(1) 电路如图11 所 示
图11
“12翻1”小时 计数器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”规律计数的,计数器的计数状态转换表如表3所示。
表3“12翻1”小时计时时序
十位
个位
十位
个位
CK
Q10
Q03 Q02 Q01 Q00
①十进制计数器 74LS90
74LS90是二—五—十进制计数器,它有两个时钟输入端CKA和CKB。其中,CKA和 组成一位二进制计数器;CKB和 组成五进制计数器;若将 与CKB相连接,时钟脉冲从 输入,则构成了8421BCD码十进制计数器。74LS90有两个清零端R0(1)、R0(2),两个置9端R9(1)和R9(2),其BCD码十进制计数时序如表1,二—五混合进制计数时序如表2,74LS90的管脚图如图9。
扇出系数:门电路能驱动同类门的个数,它是衡量门电路负载能力的一个参数,TTL与非门有两种不同性质的负载,即灌电流负载和拉电流负载,因此有两种扇出系数。即低电平扇出系数和高电平扇出系数。
3.2.4译码与显示电路
(一)电路如图15所示
图15
(二)电路的工作原理
② 计数器74LS191
74LS191的管脚图如图13
图13
3.2.3校时电路
(一)电路如图14 所示
图14
(二)电路的工作原理
校时电路的作用是:当数字钟接通电源或者出现误差时,校正时间。校时是数字钟应具有的基本功能。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。校时有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。图中S1校分用的控制开关,S2(总图)为校时用的控制开关,它们的控制功能如表4所示,校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时可以进行“快校时”。如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。 表4校时开关的功能
[数电课程设计数字电子时钟的实现] 电子时钟课程设计
![[数电课程设计数字电子时钟的实现] 电子时钟课程设计](https://img.taocdn.com/s3/m/09fa58d0af45b307e9719786.png)
[数电课程设计数字电子时钟的实现] 电子时钟课程设计课程设计报告设计题目:数字电子时钟的设计与实现班级:学号:姓名:指导教师:设计时间:摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。
诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。
功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。
通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。
通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。
本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。
由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。
关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1)第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设计··········································63.1方案论证············································63.2系统设计············································63.2.1结构框图及说明·································63.2.2系统原理图及工作原理···························73.3单元电路设计········································83.3.1单元电路工作原理·······························83.3.2元件参数选择···································14第4章软件仿真·········································154.1仿真电路图··········································154.2仿真过程············································164.3仿真结果············································16第5章安装调试··········································175.1安装调试过程········································175.2故障分析············································17第6章结论···············································18第7章使用仪器设备清单··································19参考文献·················································19收获、体会和建议·········································20第1章概述数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。
数电课设-数字钟
![数电课设-数字钟](https://img.taocdn.com/s3/m/2e899cf4941ea76e58fa0479.png)
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数字电子钟(计时、校时以及整点报时)数电课程设计报告讲解
![数字电子钟(计时、校时以及整点报时)数电课程设计报告讲解](https://img.taocdn.com/s3/m/b638d9241711cc7931b7168e.png)
公安技术学院课程设计报告课程数字电子技术题目数字电子钟(计时、校时以及整点报时)数电课程设计报告年级专业学号学生任课教师2014 年12 月29 日目录一、引言 (1)二、方案论证选择 (2)2.1 设计要求 (2)2.2 系统框图 (2)2.3 设计过程 (2)三、电路仿真与设计 (3)3.1所需芯片及芯片管脚图 (3)3.2时、分、秒显示电路模块设计 (4)3.3校时电路模块设计 (7)3.4报时电路模块设计 (7)3.5综合电路 (9)四、电路调试及实物照片 (9)4.1电路调试 (9)4.2实物照片 (10)五、存在的问题 (11)六、课程设计心得体会 (11)附录:元件清单参考资料一、引言目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。
要知道当前的时间,必须先开灯,故较为不便。
现在市场上出现了这样一类的电子钟,它以六只LED数码管来显示时分秒,与传统的以指针显示秒的方式不同,违背了人们传统的习惯与理念,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所。
这种新型的电子钟因其方便、直观的特点也得到了社会的欢迎,在社会上占有相当一部分市场。
数字电子钟是日常生活中常见的一种工具,大到机场等公共场所的时间屏幕,小到我们的手表、闹钟等,而且其报时功能也给人们提供了方便,因此,了解报时电子钟的工作原理是很有必要的,也很有趣,因此我选择了这个题目——整点报时数字钟。
数字电子技术课程的核心内容是时序逻辑电路、组合逻辑电路和触发器,这些也是我们学电子的学生最基本要掌握的知识,通过实践可以加深对课本知识的理解,能够处理一些实际中的情况,因此这次数电课程设计,我选择了数字电子钟这个题目,虽然这在日常生活中很常见,看起来也比较简单,但是其中包含的学问很多。
在这个项目中,校时是一个很重要的模块,既要可以正常校时,又不能干扰到时间计数显示模块,而时间显示比较简单,用熟悉的芯片就可以做出来了,老师说过,对芯片等元器件的了解程度等于将军手中可以调动的兵力,掌握了芯片功能,也就掌握了主动权。
(数电)多功能数字钟—设计报告
![(数电)多功能数字钟—设计报告](https://img.taocdn.com/s3/m/5897ef0852ea551810a68795.png)
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
数电课程——电子钟设计报告(正文)
![数电课程——电子钟设计报告(正文)](https://img.taocdn.com/s3/m/5bf93d2058fb770bf78a55b9.png)
多功能数字钟的电路设计报告一、设计题目:多功能数字钟的电路设计二、设计任务和要求:1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
三、原理电路设计:一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
数字钟的整机逻辑框图如下:方案比较与选择:(1)振荡器方案二:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
555与RC组成的多谐振荡器图方案二:采用石英晶体振荡器经过分频得到这一时间脉冲信号。
石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器。
门电路与RC组成的多谐振荡器图方案分析:用555组成的脉冲产生电路: R1=15*103Ω,R2=68*103Ω,C=10μF ,则555所产生的脉冲的为:f=1.43/[(R1+2*R2)*103*10*106=0.947Hz,而设计要求为1Hz,因此其误差为5.3%,在精度要求不是很高的时候可以使用。
石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32.768kHz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
综上分析,选择方案二,石英晶体振荡电路能够作为最稳定的信号源。
(2)分频器时间标准信号的频率很高,要得到秒脉冲,需要分频电路。
在本设计中选择32.768kHz的石英晶振。
数字电子钟(计时、校时以及整点报时)数电课程设计报告
![数字电子钟(计时、校时以及整点报时)数电课程设计报告](https://img.taocdn.com/s3/m/35fb9f182af90242a995e52e.png)
设计要求1.用秒脉冲作信号源,构成数字钟,显示秒、分、时2.具有“对时”功能,即时间可以快速预置3.具有整点提示功能。
一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。
系统框图设计过程时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。
整点报时模块电路用的是555芯片和一块CD4068芯片组成的电2路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。
秒信号发生器可以用实验箱上的秒脉冲信号代替。
考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。
电路仿真与设计3.1所需芯片及芯片管脚图CD4518 CD4068CD4002 CD40112CD4069 5553.2时、分、秒显示电路模块设计整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。
上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。
数字电路课程设计数字电子钟
![数字电路课程设计数字电子钟](https://img.taocdn.com/s3/m/8a7c6921ff00bed5b9f31de7.png)
数字电路逻辑设计课程设计学校:学院:专业班级:姓名:学号:同组人:课程设计题目数字电子钟设计要求1. 设计一个具有时、分、秒显示的电子钟(23小时59分59秒)。
2. 该电子钟应具有手动校时、校分得功能。
3. 整点报时。
从59分50秒起,每隔2s发出一次“嘟”的信号。
连续5次,最后1次信号结束即达到正点。
设计方案1. 数字电子钟基本工作原理和整体设计方案数字钟实际上是一个对标准频率进行计数的计数电路。
它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。
数字电子钟是由石英晶体振荡器、分频器、计数器、译码器、显示器和校时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。
秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。
时计数器采用24进制计时器,可实现对一天24小时的计时。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。
校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
数字电子钟逻辑框图如下:2. 数字电子钟单元电路设计、参数计算和元件芯片选择(1)石英晶体振荡器和分频器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。
它还具有压电效应,在晶体的某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。
数字钟电路设计
![数字钟电路设计](https://img.taocdn.com/s3/m/c87c9c242f60ddccda38a030.png)
本次设计题目:数字钟电路设计1 简述数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。
小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。
在控制系统中也常用来做定时控制的时钟源。
2 题目要求(1)具用时、分、秒十进制数字显示的计时器功能;(2)具有手动校时、校分的功能;(3)通过开关能实现小时的十二进制和二十四进制转换;(4)具有整点报时功能。
主要集成芯片:3 总体方案设计数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。
其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。
由不同进制的计数器、译码器和显示器组成计时系统。
将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。
“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。
数字钟的原理框图如图2.1所示。
图2.1 数字钟原理框图4 单元电路设计提示本题目的设计采用自下而上的层次电路设计法。
先设计单元电路,再设计总电路。
(1) 秒脉冲产生电路秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。
可用1Hz 的秒脉冲时钟信号源替代。
V11 Hz 5 V图2.2 1Hz 的秒脉冲时钟信号源(2) 秒、分、时计时器电路秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。
个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。
电子电路设计-整点报时数字钟设计
![电子电路设计-整点报时数字钟设计](https://img.taocdn.com/s3/m/b6068177bf23482fb4daa58da0116c175e0e1e5a.png)
电子电路设计-整点报时数字钟设计
整点报时数字钟是一种简便、实用的时钟设备。
它具有容易控制、零碎读取和指示时间等特点,开发和应用前景良好。
整点报时数字钟设计上可采用模块化结构,主要由电路模块、指示模块和外壳模块组成。
电路模块主要包括电源模块、控制处理模块和输出接口模块,它们负责提供供电、接收输入并处理并将指示处理结果输出给指示模块,以控制钟表运行。
指示模块可使用数码管显示器,它可通过控制处理模块接收输出信号,以指示出当前的时间。
外壳模块是钟表的外壳,用于装配电路模块和指示模块,使整个时钟装置成型,形成可视的机械装置,以便实现时间报时的功能。
整点报时数字钟的功能实现,控制处理模块可使用常用的程序控制器,其中可使用独立的定时器实现时间报时功能。
程序控制器接收时间调节器的输出信号,计算时间等,并将指示处理结果反馈给指示模块,以进行钟表显示。
此外,整点报时数字钟还可以增设外部输入接口,如计算机键盘,通过软件驱动调节器,以实现时钟的整点报时功能,也可以根据用户的具体要求实现报时的内容。
数电课程设计多功能数字钟的电路设计
![数电课程设计多功能数字钟的电路设计](https://img.taocdn.com/s3/m/964db3960975f46526d3e156.png)
课程设计任务书学生姓名:XXX 专业班级:指导教师:题目: 多功能数字钟电路设计要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (1)Abstract (2)1系统原理框图 (3)2方案设计与论证 (4)2.1时间脉冲产生电路 (4)2.2分频器电路 (7)2.3时间计数器电路 (8)2.4译码驱动及显示单元电路 (9)2.5校时电路 (9)2.6报时电路 (12)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (16)3.3 译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (23)4.4测试结果分析 (23)5心得与体会 (24)6参考文献 (25)附录1原件清单 (26)附录2部分芯片引脚图与功能表 (27)74HC390引脚图与功能表 (27)摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
具有整点报时功能的数字钟 电子设计
![具有整点报时功能的数字钟 电子设计](https://img.taocdn.com/s3/m/b8270eda6f1aff00bed51e33.png)
目录1 绪论 (1)2 设计主体 (1)2.1 振荡器 (1)2.1.1 555定时器的电路结构及工作原理 (2)2.1.2 用555定时器构成多谐振荡器 (4)2.2 分频器 (5)2.3 校正电路 (6)2.3.1 校“秒”电路 (7)2.3.2 校“分”电路 (7)2.3.3 校“时”电路 (8)2.4 整点报时电路 (8)2.5 计数器、译码器和显示器 (9)3 心得体会 (10)参考文献 (12)具有整点报时功能的数字钟1 绪论数字钟是集模拟技术与数字技术为一体的一种综合应用。
数字钟与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用,数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。
此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟,而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实现方法,且由于数字电子钟电路包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
2 设计主体数字钟是用计数器、译码器和显示器等集成电路实现“时”、“分”、“秒”按照数字方式显示的计数装置,主要由振荡器、分频器、校正电路、计数器、译码器和显示器六部分组成,如框图2-1所示。
图2-1 数字钟框图2.1 振荡器振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路,也可以选择555定时器。
我在这里选择的是555定时器。
555定时器是一种应用极为广泛的中规模集成电路,因集成电路内部含有3个5KΩ电阻而得名。
该电路使用灵活、方便,只需接少量的阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器,且价格便宜。
555定时器广泛应用于信号的产生、变换、控制与检测。
目前生产的555定时器有双极型和CMOS两种类型,主要厂商生产的产品有NE555、FX555、LM555和C7555等,它们的结构和工作原理大同小异,引出线也基本相同,有的还有双电路封装,称为556。
整点报时数字钟设计
![整点报时数字钟设计](https://img.taocdn.com/s3/m/dbe5034ea26925c52cc5bf8b.png)
实用标准电子电路课程设计报告题目:整点报时数字钟设计姓名:年级专业:学号:完成时间:目录一、设计任务与要求 (3)1设计任务 (3)2设计要求 (3)二、总体概要设计 (4)三、单元模块电路设计分析 (4)1时钟驱动脉冲产生模块 (4)2时间技术模块 (5)3校时模块 (6)4整点报时 (7)5显示选择模块 (9)6比较模块 (10)四、组装调试 (11)1使用的主要仪器及仪表 (11)2调试电路的方法和技巧 (11)3调试中出现的故障,原因及排除方法 (12)五、元器件清单 (12)六、设计总结及改进期望 (13)七、收获和体会 (13)八、参考文献 (14)一、设计任务与要求1设计任务数字钟一种用数字显示分,秒,时的即使装置,与传统的机械钟相比,它具有走势准确,显示直观,无需机械传动等有点。
因而得到了广泛的应用。
本次课程设计要求以中规模集成电路为主,利用所学知识,设计一个数字钟。
通过本次课程设计,进一步加强数字短路综合应用能力,掌握数字电路的设计技巧,增强实践能力,以及熟练掌握数字钟的系统设计,组装,调试及故障排除的方法。
2设计要求数字钟采用数码管显示。
显示范围0时0分00秒——23时59分59秒。
有校时功能,可以分别对时及单独校时,使其校正到标准时间;电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点,并且要求走时准确。
画出电路原理图。
选择元器件及参数,列出有相关元器件的清单。
自行装配和调试,并能发现问题和解决问题。
编写设计报告,写出设计与制作的全过程附上有关资料和图纸,心得体会。
二、总体概要设计三、单元模块电路设计分析1时钟驱动脉冲产生模块时钟驱动脉冲产生模块是构成数字式时钟的核心,它产生一个矩形波时间基准源信号,其稳定性和频率精确度决定了计时的准确度,振荡频率越高,计时精度也就越高。
分频器采用计数器实现,以得到1s的标准秒脉冲。
通常,数字钟的晶体振荡器输出频率较高,为了得到1HZ的秒信号输入,需要对振荡器的输出信号进行分频。
整点报时数字钟电路设计
![整点报时数字钟电路设计](https://img.taocdn.com/s3/m/552c4cdd28ea81c758f578b4.png)
1VV课程设计说明书(2009 /2010 学年第一学期)课程名称:数字逻辑课程设计题目:整点报时数字钟电路设计专业班级:通信工程2班学生姓名:XXX学号:XXXXXXX指导教师:XX设计周数: 1设计成绩:2010年01月15 日目录1 设计目的 (3)2设计要求 (3)3数字钟的基本组成及工作原理 (4)3.1数字钟的构成 (4)四、数字钟的工作原理 (6)五、总体框图 (13)六、元器件及报表 (15)七、设计总结 (16)八、心得体会 (17)9参考文献 (18)1、课程设计目的 (1)2、设计要求 (1)3、数字钟的基本组成及工作原理 (1)3.1数字钟的构成3.2 单元电路设计4、数字钟的工作原理 (5)4.1晶体振荡器电路4.2分频器电路4.3时间计数器电路4.4译码驱动电路4.5数码管5、总体框图 (12)6、元器件及报表 (13)7、设计总结 (14)8、心得体会 (15)9、参考文献 (16)1 设计目的1.显示时、分、秒采用24小时进制。
2.具有校时功能,可以对小时和分单独校时,对分校验时的时候,停止分对时的进位。
3. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时。
4为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。
2设计要求1、设计指标时间以24小时为一个周期;显示时、分、秒;具有校时功能,可以对小时和分单独校时,使其校正到标准时间,计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时,为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。
2、设计要求画出电路原理图;元器件及参数选择。
3编写设计报告:写出设计与制作的全过程,附上相关资料和图片。
3数字钟的基本组成及工作原理3.1数字钟的构成电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
数字钟电路设计(闪烁整点报时)(数电)
![数字钟电路设计(闪烁整点报时)(数电)](https://img.taocdn.com/s3/m/9be29ad276eeaeaad1f33025.png)
数字钟电路设计电气工程及其自动化苏盛指导老师曾繁政【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。
因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
振荡器是数字时钟的核心,选用555定时器构成振荡器电路。
以计数器74LS90来实现时间计数单元的计数功能。
显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。
用门电路实现校时及整点报时电路。
时间以24小时为一周期。
【关键词】数字时钟,振荡器,校时,整点报时一、方案设计与论证论文采取理论分析和实践研究相结合的研究方案。
在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。
此方案已在毕业设计制作过程中得到论证。
(一)、设计目的数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。
因此得到了广泛的使用。
数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
通过设计加深对刚刚学习了的数字电子技术的认识。
我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。
而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。
数字电子钟--数电(带闹钟调节时间和整点报时)
![数字电子钟--数电(带闹钟调节时间和整点报时)](https://img.taocdn.com/s3/m/8eb51034bdd126fff705cc1755270722192e5901.png)
物理与电子工程学院课程设计题目:数字电子钟专业电子信息工程班级12级电信三班学号********学生姓名李长炳指导教师张小英张艳完成日期:2013 年7月数字电子钟前言:数字钟是一个将“时”、“分”、“秒’’显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时闹铃等功能。
一、基本原理时显示器分显示器秒显示器时译码器分译码器秒译码器时计数器分计数器秒计数器振荡器分频器主体电路1.1 振荡电路晶体振荡器的作用是产生时间标准信号。
我采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。
本系统中的振荡电路选用555定时器构成的多谐振荡器,见图1。
多谐振荡器的振荡频率可由式估算。
图11.2 时、分、秒显示电路模块设计①秒的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的555产生的秒脉冲链接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图2注意:两个CP都是连接到555的输出。
②分的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的秒产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图3注意:两个CP都是连接的秒的进位的输出。
③小时的产生采用74LS160产生24进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的分产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图4注意:两个CP都是连接的秒的进位的输出。
1.3闹钟我设置的闹钟是00:03响的。
会响一分钟,采用与非门和或门组成的电路。
可以得出以下的电路图当达到00:03时就开始响,当不是00:03是就停止了,喇叭一端节地。
数字钟电路设计数电课设精
![数字钟电路设计数电课设精](https://img.taocdn.com/s3/m/168645f2f021dd36a32d7375a417866fb84ac0a1.png)
目录
数字钟的功能要求 数字钟电路系统的组成方框图 主体电路设计 功能扩展电路的设计 整机电路 MCU控制的数字钟
一、数字钟的功能要求
1、基本功能 准确计时,以数字形式显示时、分、
秒的时间; 小时的计时要求为“12翻1”,分和
秒的计时要求为60进位; 校正时间。
2.仿广播电台正点 表秒个位计算器状态 报时电路的设计
仿广播电台正点报时电路的 功能要求是:每当数字钟计时 快要到正点时发出声响,通常 按照4低音1高音的顺序发出间 断声响,以最后一声高音结束 的时刻为正点时刻。
2.仿广播电台正点报时电路
的设计(续)
设4声低音(约500Hz)分别发生在59分51秒、 53秒、55秒及57秒,最后一声高音(约1kHz) 发生在59分59秒,它们的持续时间均为1秒。 如表所示。 由表可得:Q3S1 =“0” 时500Hz输入音响; Q3S1 =“1” 1kHz输入音响。
各使能端功能简介如下(续):
/BI 静态灭零输入使能端。只要BI=0,不论输入 A3A2AlA0为何种电平,译码器4段输出全为低电 平,显示器灭灯(此时/BI/RBO为输入使能)。 / RBO 动态灭零输出端。在不使用/BI功能时,BI/ RBO为输出使能。该端主要用于多个译码器级联 时,实现对无意义的零进行消隐。实现整数位的 零消隐是将高位的RBO接到相邻低位的RBI,实 现小数位的零消隐是将低位的RBO接到相邻高位的 RBI。
6
M S1 M S2 Q 0
12
7
Q1 9
2
MR1 Q2 MR2 Q3
81 1
3
C LK 0
1 4 C LK 1
1
1 3 a4
7 A
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字钟电路设计电气工程及其自动化苏盛指导老师曾繁政【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。
因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
振荡器是数字时钟的核心,选用555定时器构成振荡器电路。
以计数器74LS90来实现时间计数单元的计数功能。
显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。
用门电路实现校时及整点报时电路。
时间以24小时为一周期。
【关键词】数字时钟,振荡器,校时,整点报时一、方案设计与论证论文采取理论分析和实践研究相结合的研究方案。
在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。
此方案已在毕业设计制作过程中得到论证。
(一)、设计目的数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。
因此得到了广泛的使用。
数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
通过设计加深对刚刚学习了的数字电子技术的认识。
我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。
而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。
(二)、设计指标1、显示时、分、秒,24小时制。
2、具有校时功能,可以对小时和分单独校时。
3、具有整点报时功能。
4、为了保证计时准确、稳定,由555振荡电路提供标准时间的基准信号。
二、系统框图(一)、数字时钟的构成数字时钟实际上是由一个对标准频率(1HZ)进行计数的计数电路为主要部分构成的。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路来构成数字时钟的标准时间基准信号。
(二)、数字时钟的组成框图3-1三、单元电路选取和分析(一)、振荡器设计原理图4-3 555振荡电路及其工作波形由f = 1 / T = 1 / 0.7( R1+2R2 )C = 1K Hz (2-1)和q = tw1 /(tw1 + tw2)= R1 /(R1+R2)(2-2)为见有效误差,经计算,取R1=4.8KΩ,R2=5.1KΩ,为了使产生脉冲的周期误差小,我选用R1加一个10 KΩ的电位器。
电容应选用0.1μF。
为见效可能出现的干扰,555芯片的5脚还应接0.01μF的滤波电容。
(二)、分频器电路分频器的主要功能有两个:一是产生标准“秒”脉冲信号,二是可提供功能扩展电路需要的信号,如仿电台报时用的1KHZ的高音频率信号和500HZ的低音频率信号。
74LS90为中规模TTL集成计数器,可实现二分频、五分频和十分频等功能,它由一个二进制计数器和一个五进制计数器构成。
其引脚排列图和功能表如下所示:该芯片内部有一个2分频和一个5分频器,要实现10分频就的将中规模计数器74LS90的QA端和其输入端INA相连,即可实现十分之一分频。
将3片这样连接的74LS90级联,因为每片为十分之一分频器,3片级联就正好得到1HZ的标准“秒”脉冲信号。
而第一片的输出端QA输出的即为500HZ 。
具体电路如图2-6。
图示分频电路(三)、时间计数器电路时间计数器电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器均为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。
这些计数器电路都可以由中规模集成计数器74LS90来实现。
1、秒计数器的设计秒信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了时信号发生器和分信号发生器的精度。
“秒”计数器为60进制计数器。
实现此100模数的计数器是由两片中规模集成计数器74LS90构成的。
首先分别将两片74LS90设置成10进制加法计数器。
即将两片的74LS90的置数端R0和R9都接地,将INA端接到QA端,以QD为进位输出端,则构成了10进制加法计数器。
再将其中一片74LS90计数器的进位输出端QD接到另一片74LS90的进位输入端INA端。
如此,两片计数器最大的即可实现100进制的计数器。
接下来,利用74LS90的反馈置数的方法实现60进制。
74LS90属于异步置数,所以计数器输出“2QD2QC2QB2QA、1QD1QC1QB1QA=0110、0000”时,通过置数脉冲使计数器清零,也就是此时QB,QC发出置数脉冲送至清零端R0,则R0使计数器清零[4- 6]。
“秒”计数器电路图如图所示。
图示秒计数器电路2、分计数器的设计“分”计数器也是60进制计数器。
同“秒”计数器一样是由两片中规模集成计数器74LS90构成。
将两片74LS90按同秒计数器的方法先接成10进制加法计数器,再按“秒”计数器电路的方法连接就可实现100进制的计数器。
再用同“秒”计数器的方法实现60进制。
其电路图同“秒”计数器电路图。
如下图所示。
图示分计数器电路3、时计数器电路时计数器是24进制计数器。
实现此模数的计数器也是由两片中规模集成计数器74LS90构成。
同“分”、“秒”计数器一样,先将两片计数器74LS90连接成24进制的加法计数器,再把两片计数器74LS90用“秒”计数器的方法接成可实现100进制的计数器。
当计数器状态为“2QD2QC2QB2QA、1QD1QC1QB1QA=0010、0100”时,要求计数器归零。
通过2QB、1QC 送出的置数脉冲使两片计数器74LS90同时清零,这样就构成了24进制计数器。
“时”计数器电路图如图所示。
图示时计数器电路4、译码驱动及显示单元的设计计数器实现了对时间的累计以8421BCD码形式输出,为了将计数器输出的8421BCD 码显示出来,需用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,一般这种译码器通常称为7段译码显示驱动器。
本次设计中选择74LS248作为显示译码电路;选择LED七段共阴数码管作为显示单元电路。
74LS248引脚排列为:它的功能表为:输入输出a b c d e f g××××××0(输入) 0 0 0 0 0 0 00 ××××× 1 1 1 1 1 1 1 1 81 0 0 0 0 0 0 0 0 0 0 0 0 00 1 1 0 0 0 0 1 1 1 1 1 1 1 0 01 1 ×0 0 0 1 1 0 1 1 0 0 0 0 12 1 ×0 0 1 0 1 1 1 0 1 1 0 1 23 1 ×0 0 1 1 1 1 1 1 1 0 0 1 34 1 ×0 1 0 0 1 0 1 1 0 0 1 1 45 1 ×0 1 0 1 1 1 0 1 1 0 1 1 56 1 ×0 1 1 0 1 0 0 1 1 1 1 1 67 1 ×0 1 1 1 1 1 1 1 0 0 0 0 78 1 × 1 0 0 0 1 1 1 1 1 1 1 1 89 1 × 1 0 0 1 1 1 1 1 1 0 1 1 910 1 × 1 0 1 0 1 0 0 0 1 1 0 111 1 × 1 0 1 1 1 0 0 1 1 0 0 112 1 × 1 1 0 0 1 0 1 0 0 0 1 113 1 × 1 1 0 1 1 1 0 0 1 0 1 114 1 × 1 1 1 0 1 0 0 0 1 1 1 115 1 × 1 1 1 1 1 0 0 0 0 0 0 074LS248的功能表74LS248驱动显示电路连接图如下图所示。
图示译码驱动及显示电路为了减小流经数码管的电流,以便保护数码管,所以在数码管与地线之间串联一个48欧姆电阻。
(四)、校时电路设计校时是数字钟应具备的基本功能。
一般电子手表都具有时、分校时功能。
当数字钟接通电源或者计时出现误差时,需要校正时间。
分别对分、时进行连续脉冲校准调整时,在小时校正时不影响分和秒的正常计数,在分校正时不影响秒和小时的正常计数。
校时脉冲采用分频器输出的1Hz脉冲。
由于校时电路是由与非门构成的组合逻辑电路,开关S1或S2为“0”或“1”时,可能会产生抖动,接电容C1、C2可以缓解抖动。
(五)、整点报时电路设计每一个整点前先鸣叫五次低音(500Hz),整点时再鸣叫一次高音(1000Hz),同时从51秒开始,数码管每一秒钟闪烁一次。
1、蜂鸣器鸣音设后4低音(500Hz)分别发生在59分51秒、53秒、55秒及57秒,最后一声高音(1kHz)发生在59分59秒,它们的持续时间均为1秒,只有当分十位进位脉冲的QAQC=11分个位的进位脉冲QAQD=11秒十位的进位脉冲QAQC=11秒个位的进位脉冲QA=1时(QAQD=11时,鸣高音)报时电路才能工作2、数码管闪烁数码管闪烁与蜂鸣器鸣音同时进行,整点报时同时作用在视觉与听觉两个方面,这是本次设计比较有特色的地方,设计思路很清晰,当分十位的进位脉冲QAQC=11分个位的进位脉冲QAQD=11秒十位的进位脉冲QAQC=11秒个位的进位脉冲QA=1时数码管的消隐端和1HZ的脉冲端构成的反向与非门电路开始工作。
整个报时电路原理图如下:四、设计出现的问题和解决的方法查阅参考资料后,在multisim10中对于各个单元电路以及整个电路的仿真都很顺利,但在PCB制作过程中,问题接二连三地出现了。
(一)、设计需要的部分元件在PCB中没有元件图解决:参考资料,自己画出元件图(二)、设计需要的部分元件在PCB中没有封装图解决:实际测量出元件的尺寸大小,自己画出封装图(三)、布线时出现非常多的跳线,导致电路异常复杂解决:采用双层板布线五、总电路原理图六、安装调试过程中出现的问题以及解决的方法(一)、双层板制作过程中,过板时电路不清晰,上下电路吻合不好解决:保持板面清洁,先印一面,再钻几个孔,把针插入孔中将两面电路吻合(二)、电路显示不正常解决:用电表测量电路中是否出现线短路、虚焊或者其他问题,并修改(三)整点报时电路中在第59秒时蜂鸣器没有鸣出高音,甚至没有发音解决:蜂鸣器分有源蜂鸣器和无源蜂鸣器两类,只有无源蜂鸣器支持两端输入,而安装上的是有源蜂鸣器,所以换上无源蜂鸣器即可达到设计效果。