课程设计---基于Verilog HDL数字频率计设计与实现

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于Verilog HDL数字频率计设计与实现

课程设计任务书

学院:计算机与通信工程学院专业:网络工程专业

指导教师对学生在课程设计中的评价

指导教师对课程设计的评定意见

基于Verilog HDL数字频率计设计与实现

摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了用Verilog HDL语言设计了一个简单的数字频率计的过程

关键词:周期;EDA;Verilog HDL;数字频率计;波形仿真

目录

1 引言......................................................... - 5 -

1.1 数字频率计概述.......................................... - 5 -

1.2 频率测量的思想和方法.................................... - 6 -

2 Verilog HDL简介............................................. - 9 -

2.1 Verilog HDL的简介....................................... - 9 -

3 数字频率计系统框图.......................................... - 10 -

3.1数字频率计系统框图...................................... - 10 -

3.2数字频率计系统部件简介.................................. - 10 -

4 基于Verilog HDL数字频率计程序设计.......................... - 11 -

4.1数字频率计系统模块划分结构.............................. - 11 -

4.2计数模块counter ........................................ - 12 -

4.3门控模块gate_control ................................... - 15 -

4.4分频模块fdiv ........................................... - 18 -

4.5 寄存器模块flip_latch ................................... - 20 -

4.6 多路选择模块data_mux ................................... - 22 -

4.7 动态位选模块dispselect ................................. - 23 -

4.8 BCD译码模块dispdecoder ................................ - 25 -

4.9 顶层电路top ............................................ - 28 -

5 总结........................................................ - 31 - 参考文献....................................................... - 32 -

1 引言

在电子测量领域中,频率测量的精确度是最高的,可达10—10E-13数量级。因此,在生产过程中许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度、加速度,乃至各种气体的百分比成分等均用传感器转换成信号频率,然后用数字频率计来测量,以提高精确度。

国际上数字频率计的分类很多。按功能分类,测量某种单一功能的计数器。如频率计数器,只能专门用来测量高频和微波频率;时间计数器,是以测量时间为基础的计数器,其测时分辨力和准确度很高,可达ns数量级;特种计数器,它具有特种功能,如可逆计数器、予置计数器、差值计数器、倒数计数器等,用于工业和白控技术等方面。数字频率计按频段分类 (1)低速计数器:最高计数频率<10MHz; (2)中速计数器:最高计数频率10—100MHz; (3)高速计数器:最高计数频率>100MHz; (4)微波频率计数器:测频范围1—80GHz或更高。

单片机自问世以来,性能不断提高和完善,其资源又能满足很多应用场合的需要,加之单片机具有集成度高、功能强、速度快、体积小、功耗低、使用方便、价格低廉等特点,因此,在工业控制、智能仪器仪表、数据采集和处理、通信系统、高级计算器、家用电器等领域的应用日益广泛,并且正在逐步取代现有的多片微机应用系统。单片机的潜力越来越被人们所重视。特别是当前用CMOS工艺制成的各种单片机,由于功耗低,使用的温度范围大,抗干扰能力强、能满足一些特殊要求的应用场合,更加扩大了单片机的应用范围,也进一步促使单片机性能的发展。

1.1 数字频率计概述

频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量

其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域

1.2 频率测量的思想和方法

1.频率测量的基本思想

测量被测信号在单位时间内的脉冲个数,其数字表达式

f = N / t

其中:

f为被测信号的频率

N为脉冲的个数

相关文档
最新文档