第十二讲 编码器

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

编码器内部PNP-NPN详解说明-有图示

编码器输出信号类型 一般情况下,从编码器的光电检测器件获取的信号电平较低,波形也不规则,不能直接用于控制、信号处理和远距离传输,所以在编码器内还需要对信号进行放大、整形等处理。经过处理的输出信号一般近似于正弦波或矩形波,因为矩形波输出信号容易进行数字处理,所以在控制系统中使用比较广泛。 增量式光电编码器的信号输出有集电极开路输出、电压输出、线驱动输出和推挽式输出等多种信号形式。 1集电极开路输出 集电极开路输出是以输出电路的晶体管发射极作为公共端,并且集电极悬空的输出电路。根据使用的晶体管类型不同,可以分为NPN集电极开路输出(也称作漏型输出,当逻辑1时输出电压为0V,如图2-1所示)和PNP集电极开路输出(也称作源型输出,当逻辑1时,输出电压为电源电压,如图2-2所示)两种形式。在编码器供电电压和信号接受装置的电压不一致的情况下可以使用这种类型的输出电路。 图2-1 NPN集电极开路输出 图2-2 PNP集电极开路输出 对于PNP型的集电极开路输出的编码器信号,可以接入到漏型输入的模块中,具体的接线原理如图2-3所示。 注意:PNP型的集电极开路输出的编码器信号不能直接接入源型输入的模块中。

图2-3 PNP型输出的接线原理 对于NPN型的集电极开路输出的编码器信号,可以接入到源型输入的模块中,具体的接线原理如图2-4所示。 注意:NPN型的集电极开路输出的编码器信号不能直接接入漏型输入的模块中。 图2-4 NPN型输出的接线原理 2.2电压输出型 电压输出是在集电极开路输出电路的基础上,在电源和集电极之间接了一个上拉电阻,这样就使得集电极和电源之间能有了一个稳定的电压状态,如图2-5。一般在编码器供电电压和信号接受装置的电压一致的情况下使用这种类型的输出电路。

编码器使用教程与测速原理

编码器使用教程与测速原理 我们将通过这篇教程与大家一起学习编码器的原理,并介绍一些实用的技术。 1.编码器概述 编码器是一种将角位移或者角速度转换成一连串电数字脉冲的旋转式传感器,我们可以通过编码器测量到底位移或者速度信息。编码器从输出数据类型上分,可以分为增量式编码器和绝对式编码器。 从编码器检测原理上来分,还可以分为光学式、磁式、感应式、电容式。常见的是光电编码器(光学式)和霍尔编码器(磁式)。 2.编码器原理 光电编码器是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。光电编码器是由光码盘和光电检测装置组成。光码盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,检测装置检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。 霍尔编码器是一种通过磁电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。霍尔编码器是由霍尔码盘和霍尔元件组成。霍尔码盘是在一定直径的圆板上等分地布置有不同的磁极。霍尔码盘与电动机同轴,电动机旋转时,霍尔元件检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。

可以看到两种原理的编码器目的都是获取AB相输出的方波信号,其使用方法也是一样,下面是一个简单的示意图。 3.编码器接线说明 具体到我们的编码器电机,我们可以看看电机编码器的实物。 这是一款增量式输出的霍尔编码器。编码器有AB相输出,所以不仅可以测速,还可以辨别转向。根据上图的接线说明可以看到,我们只需给编码器电源5V供电,在电机转动的时候即可通过AB相输出方波信号。编码器自带了上拉电阻,所以无需外部上拉,可以直接连接到单片机IO读取。

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

编码器基础知识大全

编码器 科技名词定义 中文名称: 编码器 英文名称: coder;encoder 定义: 一种按照给定的代码产生信息表达形式的器件。 应用学科: 通信科技(一级学科);通信原理与基本技术(二级学科)以上内容由全国科学技术名词审定委员会审定公布 编码器 编码器(encoder)是将信号(如比特流)或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。编码器把角位移或直线位移转换成电信号,前者称为码盘,后者称为码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电

刷接触导电区或绝缘区来表示代码的状态是"1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是"1”还是"0”,通过"1”和“0”的二进制编码来将采集来的物理信号转换为机器码可读取的电信号用以通讯、传输和储存。 作用 设计图纸 利用电磁感应原理将两个平面型绕组之间的相对位移转换成电信号的测量元件,用于长度测量工具。感应同步器(俗称编码器、光栅尺)分为直线式和旋转式两类。前者由定尺和滑尺组成,用于直线位移测量;后者由定子和转子组成,用于角位移测量。1957年美国的R.W.特利普等在美国取得感应同步器的专利,原名是位置测量变压器,感应同步器是它的商品名称,初期用于雷达天线的定位和自动跟踪、导弹的导向等。在机械制造中,感应同步器常用于数字控制机床、加工中心等的定位反馈系统中和坐标测量机、镗床等的测量数字显示系统中。它对环境条件要求较低,能在有少量粉尘、油雾的环境下正常工作。定尺上的连续绕组

的周期为2毫米。滑尺上有两个绕组,其周期与定尺上的相同,但相互错开1/4周期(电相位差90°)。感应同步器的工作方式有鉴相型和鉴幅型的两种。前者是把两个相位差90°、频率和幅值相同的交流电压U1 和U2分别输入滑尺上的两个绕组,按照电磁感应原理,定尺上的绕组会产生感应电势U。如滑尺相对定尺移动,则U的相位相应变化,经放大后与U1和U2比相、细分、计数,即可得出滑尺的位移量。在鉴幅型中,输入滑尺绕组的是频率、相位相同而幅值不同的交流电压,根据输入和输出电压的幅值变化,也可得出滑尺的位移量。由感应同步器和放大、整形、比相、细分、计数、显示等电子部分组成的系统称为感应同步器测量系统。它的测长精确度可达3微米/1000毫米,测角精度可达1″/360°。 分类 按照工作原理编码器可分为增量式和绝对式两类。 增量式 增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。 绝对式

测速编码器

测速编码器技术参数 电机的位置检测在电机控制中是十分重要的,特别是需要根据精确转子位置控制电机运动状态的应用场合,如位置伺服系统。电机控制系统中的位置检测通常有:微电机解算元件,光电元件,磁敏元件,电磁感应元件等。这些位置检测传感器或者与电机的非负载端同轴连接,或者直接安装在电机的特定的部位。其中光电元件的测量精度较高,能够准确的反应电机的转子的机械位置,从而间接的反映出与电机连接的机械负载的准确的机械位置,从而达到精确控制电机位置的目的。本文主要介绍高精度的光电编码器的内部结构、工作原理与位置检测的方法。 一.光电编码器的介绍: 光电编码器是通过读取光电编码盘上的图案或编码信息来表示与光电编码器相连的电机转子的位置信息的。根据光电编码器的工作原理可以将光电编码器分为绝对式光电编码器与增量式光电编码器,下面我就这两种光电编码器的结构与工作原理做介绍。 1、绝对式光电编码器 绝对式光电编码器如图所示,他是通过读取编码盘上的二进制的编码信息来表示绝对位置信息的。编码盘是按照一定的编码形式制成的圆盘。图1是二进制的编码盘,图中空白部分是透光的,用“0”来表示;涂黑的部分是不透光的,用“1”来表示。通常将组成编码的圈称为码道,每个码道表示二进制数的一位,其中最外侧的是最低位,最里侧的是最高位。如果编码盘有4个码道,则由里向外的码道分别表示为二进制的23、22、21和20,4位二进制可形成16个二进制数,因此就将圆盘划分16个扇区,每个扇区对应一个4位二进制数,如0000、0001、…、1111。 按照码盘上形成的码道配置相应的光电传感器,包括光源、透镜、码盘、光敏二极管和驱动电子线路。当码盘转到一定的角度时,扇区中透光的码道对应的光敏二极管导通,输出低电平“0”,遮光的码道对应的光敏二极管不导通,输出高电

编码器的工作原理及分类

编码器的工作原理及分类 编码器的工作原理及作用:它是一种将旋转位移转换成一串数字脉冲信号的旋转式传感器,这些脉冲能用来控制角位移,如果编码器与齿轮条或螺旋丝杠结合在一起,也可用于测量直线位移。 编码器产生电信号后由数控制置CNC、可编程逻辑控制器PLC、控制系统等来处理。这些传感器主要应用在下列方面:机床、材料加工、电动机反馈系统以及测量和控制设备。在ELTRA编码器中角位移的转换采用了光电扫描原理。读数系统是基于径向分度盘的旋转,该分度由交替的透光窗口和不透光窗口构成的。此系统全部用一个红外光源垂直照射,这样光就把盘子上的图像投射到接收器表面上,该接收器覆盖着一层光栅,称为准直仪,它具有和光盘相同的窗口。接收器的工作是感受光盘转动所产生的光变化,然后将光变化转换成相应的电变化。一般地,旋转编码器也能得到一个速度信号,这个信号要反馈给变频器,从而调节变频器的输出数据。 故障现象:旋转编码器坏(无输出)时,变频器不能正常工作,变得运行速度很慢,而且一会儿变频器保护,显示“PG断开”。。。联合动作才能起作用。要使电信号上升到较高电平,并产生没有任何干扰的方波脉冲,这就必须用电子电路来处理。编码器pg接线与参数矢量变频器与编码器pg之间的连接方式,必须与编码器pg的型号相对应。一般而言,编码器pg型号分差动输出、集电极开路输出和推挽输出三种,其信号的传递方式必须考虑到变频器pg卡的接口,因此选择合适的pg卡型号或者设置合理。 编码器一般分为增量型与绝对型,它们存着最大的区别:在增量编码器的情况下,位置是从零位标记开始计算的脉冲数量确定的,而绝对型编码器的位置是由输出代码的读数确定的。在一圈里,每个位置的输出代码的读数是唯一的;因此,当电源断开时,绝对型编码器并不与实际的位置分离。如果电源再次接通,那么位置读数仍是当前的,有效的;不像增量编码器那样,必须去寻找零位标记。 现在编码器的厂家生产的系列都很全,一般都是专用的,如电梯专用型编码器、机床专用

测速编码器说明书

BEN测速编码器在智能车舵机控制中的应用2.1 舵机工作原理 舵机在6 V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2 V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6 V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2 舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

编码器测速

飞思卡尔智能车舵机和测速的控制设计与实现 时间:2010-04-1411:53:10来源:电子设计工程作者:雷贞勇谢光骥五邑大学 2.1舵机工作原理 舵机在6V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

飞思卡尔光电编码器测速程序

#include /* common defines and macros */ #include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xs128" volatile uint speed_back=0,temp=0; void delay_ms(uint ms) { volatile uint x=0; while(ms--) { for(x=2800;x>0;x--) { _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); } } } //注意外接16M晶体。 //飞思卡尔推荐配置,主频道50MHZ,速度更快! void Init_PLL(void) { CLKSEL = 0X00; //disengage PLL to system PLLCTL_PLLON = 1; //turn on PLL SYNR = (0xc0|0x18); //SYDIV=0X18=24 REFDV = (0x40|0x07); //REFDIV=0X07=7 POSTDIV = 0x00; //pllclock=2*osc*(1+SYDIV)/(1+REFDIV)=100MHz; _asm(nop); //BUS CLOCK=50M _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop);

国内常用国外的编码器种类及品牌

国内常用编码器种类及品牌 编码器(xx高端): 海德汉Heidenhain(德国),编码器第一品牌。 倍加福P+F(德国),各类常用编码器,占有一定中国市场。 霍勒Hohner (德国,西班牙),编码器第二大生产厂商。RESATRON(德国),脉冲可达1000PPR,多圈至29BIT。 亨士乐Hengstler (德国),钢铁行业,化工行业等。 霍普纳Hubner-berlin(德国),中高端级应用,价格较高。 霍普纳Hubner-giessen(德国),重工行业,适用恶劣环境。 施克STEGMANN(德国),主要用于机床、电机回馈系统等方面。xxMEYER(xx),主要应用: 造纸机械。 库柏KUBLER(德国),品种齐全,应用广泛。 希科SIKO (德国),磁性设计,耐潮湿,耐油污。 xxT+R (xx)。 LENORD+LINDE(xx)。 xxLENORD+BAUER(xx)。 FRABA(xx)。 ELTRA(xx)。 图尔克TURCK(xx)。 莱卡LIKA(意大利),获得ESA认证,适用航空、烟机等。

xxxxELCIS(xx)。 SCANCON (丹麦),微型高精度编码器及防爆编码器。 堡盟Baumer (瑞士),高精度,高安全性,所占空间少。 莱纳林德LEINE LINDE(瑞典)。 丹纳赫Danaher (美国),供应ACURO系列编码器。 xxxxBEI IDEACOD(xx)。 日韩品牌(中低端): 欧姆龙OMRON(日本)以小型编码器居多,价格低廉。 内密控NEMICON(日本)小型编码器,产品稳定。 多摩川TAMAGAVA(日本)伺服电机,电梯应用较多 光洋KOYO(日本)同上,主要为TRD系列。 奥托尼克斯Autonics (韩国)市场以E40系列较多。 MTL(xx)以位置测量见长。 选用的是增量型还是绝对型编码器,绝对型有断电记忆功能,开机不用找零点,这是原理决定的,增量型不行,所以,绝对型编码器一般是增量型价格的好几倍,如果是增量型的选型,脉冲多少,电压多少,输出电路什么(跟后继电路要匹配),出线方式是什么,电缆还是插头,要几米,安装方式如何,(出轴还是空心或者半空),振动性如何,IP防护等级如何(视环境和应用而定)。主要分电气和机械2部分吧,你看着选就行了,一般来说,体积大的编码器用在重工业(抗冲击),钢铁,水利,石油化工,冶金等品牌比如P+F,SICK-STEGMAN,HUBNER-GIESSEN等,体积小的用在轻工业,纺织印刷包装等,品牌比如OMRON,NEMICON,AUTONICS,微型的不算在内哈,总之科技含量较高的一般都是欧洲(德国的多)和美国的编码器,主要是因为编码器是测量仪器,主要看的是精度,这方面德国海德汉Heidenhain公司是这方面的专家,

基于51单片机的光电编码器测速报告

课程设计报告 课程名称:微机原理课程设计 题目:基于51单片机的光电编码器测速

光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

一、设计任务与要求 (4) 1.1 设计任务 (4) 1.2 设计要求 (4) 二、方案总体设计 (5) 2.1 方案一 (5) 2.2 方案二 (5) 2.3 系统采用方案 (5) 三、硬件设计 (7) 3.1 单片机最小系统 (7) 3.2 液晶显示模块 (7) 3.3 系统电源 (8) 3.4光电编码器电路 (8) 3.5 整体电路 (9) 四、软件设计 (10) 4.1 keil软件介绍 (10) 4.2 系统程序流程 (10) 五、仿真与实现 (12) 5.1 proteus软件介绍 (12) 5.2 仿真过程 (12) 5.3 实物制作与调试 (13) 5.4 使用说明 (14) 六、总结 (15) 6.1 设计总结 (15) 6.2 经验总结 (15) 七、参考文献 (16)

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

编码器的分类

编码器的分类 编码器的定义: 编码器(encoder)是一种用于运动控制的传感器。它利用光电、电磁、电容或电感等感应原理,检测物体的机械位置及其变化,并将此信息转换为电信号后输出,作为运动控制的反馈,传递给各种运动控制装置。 编码器的用途: 编码器被广泛应用于需要精准确定位置及速度的场合,如机床、机器人、电机反馈系统以及测量和控制设备等。 编码器的分类: 编码器的分类概览 1、按照机械结构形式,编码器可以分为旋转编码器(rotary encoder)和线性编码器(linear encoder)。

·旋转编码器的应用最为广泛,主要用于测量机械设备的角度、速度或者电机的转速。 ·线性编码器主要用于测量线性位移,又可以分为拉线编码器(wire draw encoder)和直线编码器(line encoder)两类。 ·拉线编码器是拉线盒(wire draw mechanism)与旋转编码器的机械组合,通过拉线盒这种机械装置将机械设备的直线运动转化为圆周运动,从而可以使用旋转编码器进行测量线性位移。 ·直线编码器通常由阅读器(reader)和测量标尺(measuring ruler)组成,通过检测阅读器与测量标尺之间的相对位置,从而计算出机械位置及其变化。 2、按照电气输出形式,编码器可以分为增量型编码器(incremental encoder)和绝对值型编码器(absolute encoder)。 ·增量型编码器的输出为周期性重复的信号,如方波或者正弦波脉冲。因此,可以分为方波增量型编码器和正余弦波增量型编码器。 (1) 方波增量型编码器是最常用的编码器之一,通过计算方波脉冲的数量和频率得出长度和速度。方波增量型编码器有电压型输出,如TTL(也称长线驱动、线驱动或RS422)和HTL(也称推挽输出或推拉输出)等,和开关型输出,如NPN 开路集电极输出和PNP开路集电极输出。 (2)正余弦波增量型编码器的输出一般为1Vpp或者0.5Vpp的正弦波和余弦波,通过计算正余弦的幅值可以精确的细分出微小的角度。 ·绝对值型编码器的输出则是代表着实际位置的特定的数字编码,不同的编码规则对应着不同的通信协议,也就是我们通常说的通信接口。 绝对值型编码器常见的的通信接口有: (1)模拟量(如,4-20mA电流型输出和0-10V电压型输出等) (2)并行口(如推挽输出和开路集电极输出等,每根线芯代表着二进制的一位数字) (3)串行口(如RS485,RS232, RS422等) (4)工业总线接口(如SSI, PROFIBUS, DeviceNet, CANOpen等) (5)工业以太网接口等(如PROFINET, Ethernet IP, EtherCAT, POWERLINK等)绝对值型编码器包含单圈绝对值型编码器(Single-turn absolute encoder)和多圈绝对值型编码器(Muliti-turn absolute encoder)。单圈绝对值型编码器可以

基于51单片机的光电编码器测速

摘要 光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

目录 一、设计任务与要求 (3) 1.1 设计任务 (3) 1.2 设计要求 (3) 二、方案总体设计 (4) 2.1 方案一 (4) 2.2 方案二 (4) 2.3 系统采用方案 (4) 三、硬件设计 (6) 3.1 单片机最小系统 (6) 3.2 液晶显示模块 (6) 3.3 系统电源 (7) 3.4光电编码器电路 (7) 3.5 整体电路 (8) 四、软件设计 (9) 4.1 keil软件介绍 (9) 4.2 系统程序流程 (9) 五、仿真与实现 (11) 5.1 proteus软件介绍 (11) 5.2 仿真过程 (11) 5.3 实物制作与调试 (12) 5.4 使用说明 (13) 六、总结 (14) 6.1 设计总结 (14) 6.2 经验总结 (14) 七、参考文献 (15)

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

编码器的分类、特点及其应用详解

编码器的分类、特点及其应用详解 编码器(encoder)是将信号(如比特流)或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。编码器把角位移或直线位移转换成电信号,前者称为码盘,后者称为码尺。按照读出方式编码器可以分为接触式和非接触式两种;按照工作原理编码器可分为增量式和绝对式两类。增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。绝对式编码器的每一个位置对应一个确定的数字码,因此它的示值只与测量的起始和终止位置有关,而与测量的中间过程无关。 根据检测原理,编码器可分为光学式、磁式、感应式和电容式,根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。 1.1 增量式编码器增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和Z 相;A、B两组脉冲相位差90度,从而可方便的判断出旋转方向,而Z相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 1.2 绝对式编码器绝对式编码器是直接输出数字的传感器,在它的圆形码盘上沿径向有若干同心码盘,每条道上有透光和不透光的扇形区相间组成,相邻码道的扇区树木是双倍关系,码盘上的码道数是它的二进制数码的位数,在吗盘的一侧是光源,另一侧对应每一码道有一光敏元件,当吗盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读书一个固定的与位置相对应的数字码。显然,吗道必须N条吗道。目前国内已有16位的绝对编码器产品。 1.3 混合式绝对编码器混合式绝对编码器,它输出两组信息,一组信息用于检测磁极位置,带有绝对信息功能;另一组则完全同增量式编码器的输出信息。 二、光电编码器的应用增量型编码器与绝对型编码器区别 1、角度测量

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

光电编码器分类和选择

光电编码器分类和选择 编码器Encoder为传感器(Sensor)类的一种,主要用来侦测机械运动的速度、位置、角度、距离或计数,除了应用在产业机械外,许多的马达控制如伺服马达、BLDC伺服马达均需配备编码器以供马达控制器作为换相、速度及位置的检出所以应用范围相当广泛。根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,分为增量式编码器和绝对式编码器。光电编码器是利用光栅衍射原理实现位移—数字变换的,从50年代开始应用于机床和计算仪器,因其结构简单、计量精度高、寿命长等优点,在国内外受到重视和推广,在精密定位、速度、长度、加速度、振动等方面得到广泛的应用。 a.增量式编码器特点: 增量式编码器转轴旋转时,有相应的脉冲输出,其计数起点任意设定,可实现多圈无限累加和测量。编码器轴转一圈会输出固定的脉冲,脉冲数由编码器光栅的线数决定。需要提高分辨率时,可利用 90 度相位差的 A、B 两路信号进行倍频或更换高分辨率编码器。 b. 绝对式编码器特点 绝对式编码器有与位置相对应的代码输出,通常为二进制码或 BCD 码。从代码数大小的变化可以判别正反方向和位移所处的位置,绝对零位代码还可以用于停电位置记忆。绝对式编 码器的测量范围常规为 0—360 度。 增量型旋转编码器

轴的每圈转动,增量型编码器提供一定数量的脉冲。周期性的测量或者单位时间内的脉冲计数可以用来测量移动的速度。如果在一个参考点后面脉冲数被累加,计算值就代表了转动角度或行程的参数。双通道编码器输出脉冲之间相差为90o。能使接收脉冲的电子设备接收轴的旋转感应信号,因此可用来实现双向的定位控制;另外,三通道增量型旋转编码器每一 圈产生一个称之为零位信号的脉冲。 增量型绝对值旋转编码器绝对值编码器为每一个轴的位置提供一个独一无二的编码数字值。特别是在定位控制应用中,绝对值编码器减轻了电子接收设备的计算任务,从而省去了复杂的和昂贵的输入装置:而且,当机器合上电源或电源故障后再接通电源,不需要回到位置参 考点,就可利用当前的位置值。 单圈绝对值编码器把轴细分成规定数量的测量步,最大的分辨率为13位,这就意味着最大可区分8192个位置+多圈绝对值编码器不仅能在一圈内测量角位移,而且能幸,J用多步齿轮测量圈数。多圈的圈数为12位,也就是说最大4096圈可以被识别。总的分辨率可达到25位或者33,554,432个测量步数。并行绝对值旋转编码器传输位置值到估算电子装置通 过几根电缆并行传送。 增量型→绝对型编码器 旋转增量值编码器以转动时输出脉冲,通过计数设备来计算其位置,当编码器不动或停电时,依靠计数设备的内部记忆来记住位置。这样,当停电后,编码器不能有任何的移动,当来电工作时,编码器输出脉冲过程中,也不能有干扰而丢失脉冲,不然,计数设备计算并记忆的

相关文档
最新文档