(完整版)16×16位移位相加乘法器设计毕业设计

(完整版)16×16位移位相加乘法器设计毕业设计
(完整版)16×16位移位相加乘法器设计毕业设计

本科学生毕业论文

论文题目:16×16位移位相加乘法器设计学院:电子工程学院

年级:2009级

专业:集成电路设计与集成系统

姓名:于昊

学号:

指导教师:曹贝

2012年 6 月 13日

摘要

随着集成电路设计技术的不断进步,乘法器的芯片设计实现的研究与应用越来越广泛,对乘法器进行ASIC芯片设计,具有设计实现过程简单、所用到的EDA工具完善而且成熟、硬件开销小、易于在VLSI 电路或系统级芯片中集成。通常,数字电路设计的流程对于芯片的实现而言,需要RTL级的HDL描述,并要对各层次的设计进行功能仿真验证,在验证电路能按预期设计功能工作后,即可对RTL级的HDL描述进行综合、优化,形成门级网表。整个设计流程可称为数字电路的前端设计。本课题基于移位相加算法的研究,设计16位移位相加乘法器,并在功能仿真通过后,将所设计的Verilog RTL级代码进行综合,采用Synopsys公司Design Compiler EDA工具进行电路综合,获得16位移位相加乘法器的门级网表与电路实现。

关键词

数字电路设计;移位相加乘法器;综合;

Abstract

Abstract abstract abstract abstract abstract abstract abstract

abstract abstract abstract abstract abstract abstract abstract abstract

abstract abstract abstract abstract abstract abstract abstract abstract

abstract abstract abstract abstract abstract abstract abstract abstract

abstract abstract.(英文摘要内容必须与中文摘要完全对应。英文摘要采

用Times New Roman小四号字书写,毕业论文、毕业设计行与行之间、

段落和层次标题以及各段落之间均为1.5倍行距。)

Key words

Key words;key words; key words(英文关键词内容必须与中文关键

词完全对应。英文关键词采用Times New Roman小四号字书写,毕业

论文、毕业设计行与行之间、段落和层次标题以及各段落之间均为1.5

倍行距。关键词与关键词之间用“;”隔开)

目录

摘要....................................................................................................................................... Abstract................................................................................................................................第一章乘法器研究的背景与意义.....................................................................................

1.1乘法器的发展现状.................................................................................................

1.1.1国内乘法器现状..........................................................................................

1.1.2国外乘法器现状..........................................................................................

1.2乘法器概述.............................................................................................................

1.3乘运算.....................................................................................................................

1.4乘法器结构.............................................................................................................

1.5乘法器端口定义.....................................................................................................第二章移位相加乘法器设计的基本原理.........................................................................

2.1.1 移位相加算法..................................................................................................

2.1.2 乘法器设计原理...............................................................................................

2.2移位相加乘法器原理.............................................................................................第三章 16×16位移位相加乘法器设计与仿真 .................................................................

3.1 16位移位相加乘法器的设计...............................................................................

3.2 16位移位相加乘法器Verilog文件设计 ..........................................................

3.3 16×16位移位相加乘法器Modelsim仿真 ..........................................................

3.3.1 16×16位移位相加乘法器经典数组运算结果 .........................................

3.3.2 16×16位移位相加乘法器一般情况运算结果 .........................................第四章 16×16位移位相加乘法器Verilog设计综合 .....................................................

4.1 RTL级概念.............................................................................................................

4.2 RTL级综合结果与分析.........................................................................................

4.3 16位移位相加乘法器电路图...............................................................................结论.......................................................................................................................................参考文献...............................................................................................................................附录一...................................................................................................................................致谢.......................................................................................................................................

第一章乘法器研究的背景与意义

数字信号处理中需要频繁进行大数据量的乘法运算。乘法器作为数字信号处理器的重要部件,它的速度直接决定了整个处理器的性能。本课题采用verilog HDL设计了一个16位移位相加乘法器。首先介绍了移位相加乘法器的算法以及比较移位相加乘法器,Booth乘法器,Wallace Tree乘法器各自的特点。介绍了本课题在综合时需用到的Design Compiler(DC)综合工具。随后提出了自己的乘法器设计架构设计了一个16位移位相加乘法器。并用Design Compiler(DC)进行了优化。所设计的乘法器是16×16位,基于Altera公司的Modelsim平台上用Verilog_HDL软件进行功能仿真,验证了功能正确,达到了预期的目标。

微处理器的发展随着集成电路的迅猛发展而日新月异。在微处理器芯片中乘法器是进行数字信号处理的核心同时也是微处理器中进行数据处理的关键部件,它已经是现代计算机必不可少的一部分。乘法器的算法也有很多种,例如移位相加算法、布斯(Booth)算法、华莱士树(Wallace Tree)算法等。其中移位相加算法是乘法器最基础的算法,其它的算法都是在它的基础上为了压缩和优化这种算法延伸出来的算法,目的是提高乘法器的运算速度以及实现更多的乘法器功能。虽然不断有关于32位、64位乘法器的研究出现, 但由于大量的媒体信号处理只需16位运算就能胜任, 因此对16位乘法器的研究仍有着相当的应用价值。

1.1乘法器的发展现状

过去的十年中,研究者扩展了Booth编码算法的空间,提高了乘法器的性能;改进了部分积压缩技术,使乘法器结构更加规则;以传输管逻辑、多路选择器和动态技术为基础的各种电路实现方法也持续刷新高性能乘法器的实现记录;与此同时,与物理实现紧密相关的乘法器拓扑结构的研究也硕果累累。但不断提高的高性能运算需求使得高性能乘法器的设计和实现仍然是当前的热门话题。

1.1.1国内乘法器现状

乘法器的设计与应用在国内研究领域也取得了很好的成绩,1993年中科院声学研究所应用于18位乘法器,实现工作频率38MHZ.。随着应用要求的领域与性能不断提高,1997年国防科技大学完成应用于数字神经处理的16位乘法器,频率30MHZ。2001年西安微电子技术中心于敦山实现了32位定浮点乘法器延时5676ns。进入新世纪以来,集成电路工艺水平不断提升,2002年西安交通大学袁寿财实现了0.25um下延时40ns。2003年中科院计算研究所周旭采用0.18um的工艺实现54位浮点乘法器384MHZ。2004年复旦大学武新宇采用0.18um的工艺实现64位乘法器延时2.82ns。2005年上海大学王田采用0.18um的工艺实现32位乘法器延时3.15ns。2007年东南大学王定采用FPGA设计24位乘法器延时18.81ns。乘法器的设计一直是集成电路设计研究领域的一个热点,也有着广泛的应用。

1.1.2国外乘法器现状

理论方面乘法器研究上的里程碑应该从Booth AD在1951年提出的Booth编码方法和Wallace的树型压缩开始。随后出现了Booth2算法、压缩器(进位保留加法)、延迟平衡树等各种算法结构。

国外乘法器在电路方面的发展同样迅猛,1995年Ohkubo基于传输管逻辑实现了4.4ns 54位乘法器,同时提出了新的4:2压缩单元结构和进位选择加法器结构。1996年Hanawa实现了4.3nS的54位乘法器,同一年,Makino实现了8.8ns54位冗余二进制编码乘法器。1997年Inoue提出了符号选择Booth解码和改进的4:2压缩单元结构,实现了4.1ns的54位乘法器。1998年Hagihara基于动态技术和传输管逻辑实现了2.7 ns的54位乘法器。同年Carlson介绍了6.0 ns的64位乘法器实现方法。2001年Itoh实现了一个600MHz的两级流水线的54位乘法器。2003年Cho基于标准单元库实现了一个3.25ns的54位乘法器,并提出了改进的进位选择加法器结构。

1.2乘法器概述

乘法器在当今数字信号处理以及其他诸多应用领域中起着十分重要的作用。随着科学技术的发展,许多研究人员已经开始试图设计一类拥有更高速率和低功耗,布局规律占用面积小,集成度高的乘法器。这样,就能让它们更加适用于高速率,低功耗的大规模集成电路的应用当中。

通常的乘法计算方法是添加和位移的算法。在并行乘法器当中,相加的部分乘积的数量是主要的参数。它决定了乘法器的性能。为了减少相加的部分乘积的数量,修正的Booth算法是最常用的一类算法。为了

实现速度的提高Wallace 树算法可以用来减少序列增加阶段的数量。我们进一步结合修正的booth 算法和Wallace 树算法,可以看到将它们集成到一块乘法器上的诸多优势。但是,随着并行化的增多,大量的部分乘积和中间求和的增加,会导致运行速度的下降。不规则的结构会增加硅板的面积,并且由于路由复杂而导致中间连接过程的增多继而导致功耗的增大。另一方面串并行乘法器牺牲了运行速度来获得更好的性能和功耗。因此,选择一款并行或串行乘法器实际上取决于它的应用性质。在本文中,我们将介绍乘法算法以及在应用结构方面的速度比较,占用面积,功率和这些情况的组合绩效指标。

1.3乘运算

考虑两个没有符号的二进制数X 和Y ,分别为M 位宽和N 位宽。为了说明乘法运算,可以用二进制形式来表示X 和Y :

∑∑-=-===1

01

022N j j j M i i i

Y Y X X 。于是乘法运算定义如下:

∑∑∑∑∑-=-=+-=-=-+=???? ??=???? ????? ??==

?=101010101

02222M i N j j i j i N j j j M i i i N M k k k Y X Y X Z Y X Z

执行一个乘法运算最简单的方法是采用一个两输入的加法器。对于M 和N 位宽的输入,乘法采用一个N 位加法器时需要M 个周期。这个乘法的移位相加算法把M 个部分积(partial product )加在一起。每一个部分积是通过将被乘数与乘数的一位相乘(这本质上是一个“与”操作),然后将结果移位到这个乘数的位置得到的。

实现乘法的一个更快的办法是采用类似于手工计算乘法的方法。所有的部分

积同时产生并组成一个列阵。运用多操作数相加来计算最终的积。这一方法如图所示。这一组操作可以直接映射到硬件。所形成的结构称为阵列乘法器(array multiplier),它结合下面三个功能:产生部分积、累加部分积和最终相加。

二进制乘法的例子

1.4乘法器结构

乘法器的结构种类很多,在此我只介绍一种乘法器结构供接下来设计移位相加乘法器结构的设计做参考。

浮点数包括尾数和指数两部分,尾数部分进行的是乘法运算,指数部分进行的是加法运算,并依据尾数运算结果进行指数调整。

图2-1 乘法器结构

尾数处理部分主要进行尾数扩展和一些数据正负的判断以及负数的生成,以备在进行Booth编码的时候使用。部分积的产生采用修正的Booth算法产生6个部分积,然后采用3:2和4:2压缩器将结构压缩

为两个,高速相加得到结果。之后通过符号修正、尾数舍入、规格化等操作对指数进行调整即可完成乘法功能。

1.5乘法器端口定义

通常,根据乘法器的功能计算要求定义乘法器的端口如下:

ain :16位乘数输入

bin :16位被乘数输入

clk :工作时钟输入

res_n :复位信号输入

start:开始位

done:结束位

yout:乘积输出

第二章移位相加乘法器设计的基本原理

移位相加乘法器实现起来比较简单,它的设计思想就是根据乘数的每一位是否为1进行计算,若为1则将被乘数移位相加。一个乘法器只需要一个移位寄存器和一个加法器就能组成。

2.1 移位相加乘法器设计基础

2.1.1 移位相加算法

移位相加算法是乘法器最基础的算法,下面以一个例子说明移位相加法实现M×N。

手动乘法运算举例:

1 1 0 1

×1 0 1 1

1 1 0 1

1 1 0 1

0 0 0 0

﹢1 1 0 1

1 0 0 0 1 1 1 1

计算机实现方案1:部分积左移:

1 1 0 1

×1 0 1 1

1 1 0 1

﹢1 1 0 1

1 0 0 1 1 1

﹢0 0 0 0

1 0 0 1 1 1

﹢1 1 0 1

1 0 0 0 1 1 1 1

计算机实现方案2:部分积之和右移:

1 1 0 1

×1 0 1 1

1 1 0 1 第一次部分积

1 1 0 1 部分积之和右移1位

﹢1 1 0 1 第二次部分积

1 0 0 1 1 1 部分积之和

1 0 0 1 1 1 部分积之和右移1位

﹢0 0 0 0 第三次部分积

1 0 0 1 1 1 部分积之和

1 0 0 1 1 1 部分积之和右移1位

﹢1 1 0 1 第四次部分积

1 0 0 0 1 1 1 1 部分积之和

1 0 0 0 1 1 1 1 部分积之和右移1位

2.1.2 乘法器设计原理

乘法器基本工作原理大体分为三个步骤:( 1) 先将二进制的被乘数与乘数的每一位分别相乘, 得到与乘数的位数相同个数的部分积;( 2) 将得到的部分积按权值错位相加, 进行部分积压缩;( 3) 一级加法,通常采用一个超前进位加法器模块。对乘法器的设计自然集中在各模块的性能优化上,以达到速度快、面积小的目的。

2.2移位相加乘法器原理

从手算的经验可知执行一个乘法运算最简单的方法就是采用一个两输入的加法器,进行部分积的累加,得到最终结果。对于N位的乘数与N位的被乘数的输入,实现乘法运算需要N个加法器与2N个寄存器,乘法的移位和相加把N个部分积加在一起,部分积是通过被乘数与乘数移位后末位相与操作得到,然后将结果与存放在寄存器中的前次运算得到的结果进行相加,并将结果仍然存放在寄存器中,如此反复。这样的操作在硬件实现上就是移位相加乘法器。移位相加乘法器的中间结果需要用寄存器保存的。其中时钟信号可以使用系统时钟信号。许多加法器结构都是应乘法器设计要求而生,如CPA(carry2propagateadder)、

CSA(carry2saveadder)[7]和Wallacetree[8]等。移位相加乘法器优点是占用资源少。在第一个时钟来的时候,把乘数的最低位与被乘数相与,当第二个时钟到来时,仍然可以用刚才的与门来进行相与。这样,即使乘数有多少位,都是用相同的与门:缺点是运算速度慢,每次时钟乘数输入一位,假设乘数有4位,就需要4个时钟周期才可以把结果输出。

第三章 16×16位移位相加乘法器设计与仿真

3.1 16位移位相加乘法器的设计

位移和添加乘法器的一般结构如下图所示,对于32比特的数乘运算,根据乘数最低有效位的数值,被乘数的数值被相加并累积。在每一个时钟循环周期内,乘数被左移一个比特,并且它的位值被测试,如果位值是0,则只进行一次位移操作。如果位值是1,则被加数被放入累加器中,并且左移一位。当所有乘数的比特值被测试完之后,结果就在累加器当中。累加器最初是N位,相加之后变成2N位,最低有效位包涵了乘数。延迟是N个最大循环周期。

3.2 16位移位相加乘法器Verilog文件设计

完整的16×16位移位相加乘法器的Verilog文件设计和激励测试文件将会在附件中给出,下面我只对本乘法器的重要部分做下说明。

module mux_16

(

clk,rst_n,

start,ain,bin,yout,done

);

reg[15:0] areg;

reg[15:0] breg;

reg[31:0] yreg;

reg done_r;

reg[4:0] i;

这部分是我定义了一个时钟clk,一个复位键rst_n,一个开始键start,输入乘数ain,输入被乘数bin,输出yout,和结束位done。以及定义了两个16位寄存器areg,breg,一个32位寄存器yerg,一个1位寄存器done_r,一个5位寄存器i。

always@(posedge clk or negedge rst_n)

if(!rst_n) i<=5'd0;

else if(start && i<5'd17) i <= i+1'b1;

else if(!start) i <= 5'd0;

always@(posedge clk or negedge rst_n)

if(!rst_n) done_r<=1'd0;

else if(i==5'd16) done_r <= 1'b1;

else if(i==5'd17) done_r <= 1'b0;

assign done = done_r;

这部分主要对开始位start和终止位done的约束。

always@(posedge clk or negedge rst_n)

begin

if(!rst_n)

begin

areg <= 16'h0000;

breg <= 16'h0000;

end

else if(start)

begin

if(i==5'd0)

begin

areg <= ain;

breg <= bin;

end

else if( i>5'd0&&i<5'd16)

begin

if(areg[i-1]) yreg <={1'b0,yout[30:15]+breg,yreg[14:1]};

else yreg <= yreg>>1;

end

else if(i==5'd16 && areg[15]) yreg <= yreg[31:16]+breg;

end

这部分是移位相加乘法器的运算方法。

3.3 16×16位移位相加乘法器Modelsim仿真

Modelsim仿真工具是Model公司开发的,它支持Verilog、VHDL 以及他们的混合仿真。它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等。比Quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

在采用Modelsim开始仿真之前我们首先应该搭建一个仿真测试的平台,即为即将进行的测试文件编写一个测试激励(Testbench)。一个测试平台文件就是一个Verilog模型,可以用来验证所设计的硬件模型的正确性。测试平台就是为所测试的元件提供一个测试激励,仿真结果可以以波形的方式显示或存储测试结果到文件中。激励信号可以直接集成在测试平台文件中,也可以外部文件加载,在这里我们采用集成在测试平台中如图5-1。

仿真测试平台

待测试文件

仿真模拟文件

图3-2 仿真测试平台

仿真模拟文件是采用软件方法模拟一个乘法器,在编写的时候并不考虑硬件情况所以是不可综合的。它仅仅用于仿真,在相同的测试激励下会产生和待测试文件一样的乘法结果。但由于它采用的是纯粹的软件的仿真并不关心实际硬件,所以我们可以采用直接使用乘法得出结果,

从而大大减小它的编写难度同时能够保证结果的正确性。如果待测试文件和仿真模拟文件在相同的测试激励下输出相同的结果,那么我们就可以认为设计是正确的。同时得益于软件仿真的高速性我们可以再完成一组仿真比较之后紧接着自动进入下一组,同时自动改变仿真的数据组合,逐步将16位浮点数据的全部组合进行测试,确保在所有情况下的结果都是正确的。

仿真测试平台提供两个相同的测试激励分别将值给待测试文件和模拟测试文件,然后得到它们的结构进行比较,如果相同说明我们的设计在功能上是正确的,如果不同说明设计存在问题,验证测试马上终止,并给出错误位置和激励信号帮助改正,具体实现代码见附录测试代码部分。

3.3.1 16×16位移位相加乘法器经典数组运算结果

在数字电路设计流程中,在进行电路综合前,必须要确保所设计的电路功能的正确性,本课题针对所设计的16*16位移位相加乘法器的verilog设计进行功能仿真,采用的是Mentor公司的仿真器Modelsim,仿真结果如下。

上图是16位的0×0的功能仿真结果,根据第二节中阐述的二进制乘法的基本原理,对于被乘数与乘数都是16位的运算,可获得32位二进制,由仿真

结果,在运算结果正确的同时,位数也保持着正确。

1×1

0×1

单片机毕业设计完整版

安徽工业大学继续学院《单片机原理》期末课程设计 题目:单片机计时时钟设计与制作 专业:电气工程及其自动化 班级:14 电升 姓名:夏云飞 学号:1410102003035 指导老师:贺容波 成绩: ( 2015.12 )

目录 一、绪论 (1) 1.1单片机简介 (1) 二、硬件系统设计方案 (3) 2.1 时钟电路的设计 (3) 2.2复位电路的设计 (4) 2.3 数码显示电路的设计 (5) 2.4按键电路的设计 (7) 2.5 蜂鸣器电路的设计 (8) 2.6接线图 (9) 三、软件系统设计方案 3.1 模块化设计方案 (10) 3.2 主程序的设计 (11) 3.3 LED动态显示程序的设计 (14) 3.4 计时程序模块的设计 (17) 3.5 键盘程序的设计 (19) 3.6 蜂鸣器程序的设计 (22) 3.7整个程序 (23) 四、总结 总结与致谢 (28) 参考文献 (29) 使用说明 (29)

安徽工业大学继续教育学院《单片机原理》期末课程设计——单片机计时时钟设计与制作 一绪论 1.1单片机简介 1.1.1单片机的产生 计算机的发展经历了从电子管到大规模集成电路等几个发展阶段,随着大规模集成电路技术的发展,使计算机向性能稳定可靠、微型化、廉价方向发展,从而出现了单片微型计算机。 所谓单片微型计算机,是指将组成微型计算机的基本功能部件,如中央处理器CPU、存储器ROM和RAM、输入/输出(I/O)接口电路等集成在一块集成电路芯片上的微型计算机,简称单片机。总体来讲,单片机可以用以下“表达式”来表示:单片机=CPU+ROM+RAM+I/O+功能部件 1.1.2单片机的特点 随着现代科技的发展,单片机的集成度越来越高,CPU的位数也越来越高,已能将所有主要部件都集成在一块芯片上,使其应用模式多、范围广,并具有以下特点: ①体积小,功耗低,价格便宜,重量轻,易于产品化。 ②控制功能强,运行速度快,能针对性地解决从简单到复杂的各类控制问题,满足工业控制要求,并有很强的位处理和接口逻辑操作等多种功能。 ③抗干扰能力强,适用温度范围宽。由于许多功能部件集成在芯片内部,受外界影响小,故可靠性高。 ④虽然单片机内存储器的容量不可能很大,但存储器和I/O接口都易于扩展。 ⑤可以方便的实现多机和分布式控制。 1.1.3单片机的应用 单片机的应用具有面广量大的特点,目前它广泛的应用于国民经济各个领域,对技术改造和产品的更新起着重要作用。主要表现在以下几个方面: ①单片机在智能化仪器、仪表中的应用:由于单片机有计算机的功能,它不仅能完成测量,还既有数据处理、温度控制等功能,易于实现仪器、仪表的数字化和智能化。 ②单片机在实时控制中的应用:单片机可以用于各种不太复杂的实时控制系统中, 第1页

计算机组成原理_阵列乘法器设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:阵列乘法器的设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:2014年1月10日

沈阳航空航天大学课程设计报告 _______________________________________________________________________________ 目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计思路 (2) 1.3设计环境 (3) 第2章详细设计方案 (3) 2.1总体方案的设计与实现 (4) 2.1.1总体方案的逻辑图 (4) 2.1.2器件的选择与引脚锁定 (4) 2.1.3编译、综合、适配 (5) 2.2功能模块的设计与实现 (5) 2.2.1一位全加器的设计与实现 (6) 2.2.2 4位输入端加法器的设计与实现 (7) 2.2.3 阵列乘法器的设计与实现 (10) 第3章硬件测试 (13) 3.1编程下载 (13) 3.2 硬件测试及结果分析 (13) 参考文献 (15) 附录(电路原理图) (16)

第1章总体设计方案 1.1 设计原理 阵列乘法器采用类似人工计算的方法进行乘法运算。人工计算方法是用乘数的每一位去乘被乘数,然后将每一位权值对应相加得出每一位的最终结果。如图1.1所示,用乘数的每一位直接去乘被乘数得到部分积并按位列为一行,每一行部分积末位与对应的乘数数位对齐,体现对应数位的权值。将各次部分积求和,即将各次部分积的对应数位求和即得到最终乘积的对应数位的权值。 为了进一步提高乘法的运算速度,可采用大规模的阵列乘法器来实现,阵列乘法器的乘数与被乘数都是二进制数。可以通过乘数从最后一位起一个一个和被乘数相与,自第二位起要依次向左移一位,形成一个阵列的形式。这就可将其看成一个全加的过程,将乘数某位与被乘数某位与完的结果加上乘数某位的下一位与被乘数某位的下一位与完的结果再加上前一列的进位进而得出每一位的结果,假设被乘数与乘数的位数均为4位二进制数,即m=n=4,A×B可用如下竖式算出,如图1.1所示。 X 4 X 3 X 2 X 1 =A × Y 4 Y 3 Y 2 Y 1 =B X 4Y 1 X 3 Y 1 X 2 Y 1 X 1 Y 1 X 4Y 2 X 3 Y 2 X 2 Y 2 X 1 Y 2 X 4Y 3 X 3 Y 3 X 2 Y 3 X 1 Y 3 (进位) X4Y4 X3Y4 X2Y4 X1Y4 Z 8 Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 图1.1 A×B计算竖式 X 4 ,X 3 ,X 2 ,X 1 ,Y 4 ,Y 3 ,Y 2 ,Y 1 为阵列乘法器的输入端,Z 1 -Z 8 为阵列乘法器 的输出端,该逻辑框图所要完成的功能是实现两个四位二进制既A(X)*B(Y)的 乘法运算,其计算结果为C(Z) (其中A(X)=X 4X 3 X 2 X 1 ,B(Y)=Y 4 Y 3 Y 2 Y 1 , C(Z)=Z 8Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 而且输入和输出结果均用二进制表示 )。阵列乘法器的总原 理如图1.2所示。

移位相加8位乘法器的设计

EDA技术课程大作业 设计题目:移位相加8位乘法器的设计 院系:电子信息与电气工程学院 学生姓名: 学号:200902070017 专业班级:09电子信息工程专升本 2010年12月3日

移位相加8位乘法器的设计 1.设计背景和设计方案 1.1设计背景 EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Ddscription Langurage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC 测试和封装、FPGA(Gield Peogrammable Gate Array)/CPLD(Complex Programmable Logic Device)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。本文介绍设计一个两个5位数相乘的乘法器。用发光二极管显示输入数值,用7段显示器显示十进制结果。乘数和被乘数分两次输入。在输入乘数和被乘数时,要求显示十进制输入数据。输入显示和计算结果显示,采用分时显示方式进行,可参见计算器的显示功能 1.2设计方案 此设计是由八位加法器构成的以时序逻辑方式设计的八位乘法器,它的核心器件是八位加法器,所以关键是设计好八位加法器。 方案一:八位直接宽位加法器,它的速度较快,但十分耗费硬件资源,对于工业化设计是不合理的。 方案二:由两个四位加法器组合八位加法器,其中四位加法器是四位二进制并行加法器,它的原理简单,资源利用率和进位速度方面都比较好。综合各方面的考虑,决定采用方案二。 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。其乘法原理是乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。从

物联网13级毕业设计选题要求

物联网14级专业实训和毕业设计选题要求 一、总体原则 1、不能与物联网1 2、13级毕业设计题目相同 2、一人一题 3、必须符合物联网专业方向 4、必须满足选题要求的各项指标 5、题目是否合格有指导教师把关 6、在签订课程置换协议前必须确定题目,否则拒签 二、物联网系统的选题要求 1、感知层 (1)采用核心板开发(51单片机、STM32、ARM等) (2)重点设计接口电路 ①传感器接口 ②传输接口 ③接口保护电路 ④数据处理 (3)软件设计 主要是对应接口电路的软件驱动,包括流程图和关键技术 2、传输层 (1)终端节点与网关节点之间通信协议设计 ①确定设计的物联网系统使用哪些终端节点。 ②从通信角度确定终端节点与网关节点之间需实现哪些数据

交互。 ③给出设计所需各类协议帧的具体格式,并对协议帧中各字节的语义加以解释。 (2)网关节点与服务器之间通信协议设计 ①从通信角度确定网关节点与服务器之间需实现哪些数据交互。 ②给出设计所需各类协议帧的具体格式,并对协议帧中各字节的语义加以解释。 (3)协议帧的具体实现 给出每条协议帧实现的具体函数、实现流程、关键代码及触发调用的时机。 3、应用层 (1)搭建数据库服务器MySQL (2)传输层通过预设协议,解析传感器数据,上传数据至MySQL (3)Web接口服务:须实现登录验证,实时数据获取,历史数据获取等基本接口请求 (4)移动App端: ①登录功能,实时数据显示,历史数据显示(列表,图表),设置等 ②反向控制(可利用app与直连的方式进行控制,若有能力的话,可利用服务器推送机制实现反向控制) 4、命题格式

基于物联网的*********的系统设计 注:其它符合专业方向的命题方式也可以,题目中不要出现“智能”字样。 5、选题单 确定题目之后,按照选题单的要求认真撰写,由指导教师把关签字,否则不允许签3+1请假手续。

八位乘法器VHDL及功能模块说明

EDA课程设计报告 实验名称:八位乘法器

目录 一.引言 1.1 EDA技术的概念?? 1.2 EDA技术的特点?? 1.3 EDA设计流程?? 1.4 VHDL介绍?? 二.八位乘法器的设计要求与设计思路??2.1 设计目的?? 2.2 设计要求?? 三.八位乘法器的综合设计?? 3.1 八位乘法器功能?? 3.2 八位乘法器设计方案?? 3.3 八位乘法器实体设计?? 3.4 八位乘法器VHDL设计?? 3. 5八位乘法器仿真图形?? 心得体会?? 参考文献??

一、引言 1.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.2 EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。 1.3 EDA设计流程 典型的EDA设计流程如下: 1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。 4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。 5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配臵、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

管理学院毕业设计(论文)范例

XX工业大学 毕业设计(论文) 题目:外商对华直接投资经济效应分析 副标题: 专业:工商管理指导教师:李晓红 学生XX:李萌班级-学号:工商032-1 2008年6 月

XX工业大学本科毕业设计(论文) 题目:外商对华直接投资经济效应分析 副标题: 学生XX:李萌 专业:工商管理 班级学号:工商032-1 指导教师: 评阅人: 年月日

摘要 中国已成为亚洲最大的外商直接投资国,并且是世界上仅次于美国的第二大引资国。外商直接投资对我国经济发展起了不可否认的促进作用,但同时,它又是一把“双刃剑”,对我国的经济有一定的负面影响。因此,正确认识外商对华直接投资的实际效应,对于我国在新时期如何对待FDI具有重要的现实意义。 本文通过对外商对华直接投资问题的规X分析和实证分析,利用SOLOW-SWAN模型和COBB-DOUGLA S模型,对FDI和GDP、FDI和就业、FDI和技术外溢、FDI和进出口贸易进行了分析,以实际数据说明外商对华直接投资对加速我国国内资本的形成、增加就业和提高职工的素质以及扩大出口方面的重大作用。最后结合我国的实际情况,提出了应在稳定投资政策、创造良好的投资环境、推动落后地区开放程度及取消对外资企业的超国民待遇等方面来制定对待FDI的对策。 关键词:外商对华直接投资;经济效应;经济增长;实证分析 ABSTRACT …………Foreign Direct Investment has not only promoted the development of economy greatly but also a “double-edged sword”, which h as certain negative influence on the economy. Therefore, knowing the advantages and disadvantages of FDI will have important realistic meaning for our country how to treat FDI in new period. ………… Keywords:Foreign direct investment;Economic effect;Economy growth; Demonstrational analysis

4位乘法器

一、概述 利用四位二进制寄存器、全加器以及D触发器等元器件,实现四位二进制乘法器的控制部分和乘法的实现部分。成法是加法的简便运算乘法运算只能通过加法运算以及移位运算来实现。在控制端用四个触发器产生四个控制信号来控制实现的加法移位功能,实现端在控制端信号作用下依次执行置零、加法、移位和循环操作。 二、方案说明 设计一个4位二进制乘法器,可以存储其乘积。 电路原理框图如图1所示。乘法器可以利用家发起和寄存器实现。 图1 乘法器原理框图 寄存器B存放被乘数,寄存器Q存放乘数,两个乘积长度可能是原来的2倍,故计算完成后将累加和高位放入寄存器A,而Q放寄存器的低位,P 记录乘数的位数,每形成一个部分P加1,当P=4时,乘法结束,两数之积放在AQ寄存器中。 控制端产生四个控制信号分别为T0、T1、T2、T3。在初态T0时,被乘数和乘数已分别存于寄存器B和Q中,等待启动信号S的到来,当S=1时控制器进入状态T1,在此状态下A、E、P清零,准备乘法操作。 从状态T2开始,控制器进入累计部分积的循环操作过程。首先检验乘数的最低有效位Q1。如Q1=1,A和B相加结果存于A和E之中;如果Q1=0,不做加法运算。无论Q1为何值,都要将计数器P加1。在状态T3,合成寄存器EAQ右移一位得到累计的部分积,时检测P之值,如果P不等于4,状态返回T2,继续累计部分积的过程。如果P=4,停止循环,系统返回初始状态T0。 三、电路设计 1、控制器设计

根据图2所示的ASM图表,可以设计二进制乘法器的控制器。 图2 二进制乘法器ASM图表四个D触发器的驱动方程为: D0=T0S’+T3Z=((T0S’)’·(T3Z)’)’ D1=T0S=((T0S)’)’ D2=T1+T3Z’=(T1’·(T3Z’)’)’ D3=T2

管理学院毕业设计(论文)模板

毕业论文的结构(即装订顺序) 1.教务处印制的封面(毕业论文的封面有教务处统一印制的彩色、硬纸封面,相关内容用手填) 2.扉页(与封面内容完全相同)(内容打印)(单排,无页眉和页码) 3.声明书(论文题目打印,签名和日期要手签)(单排,无页眉和页码) 4.毕业设计(论文)任务书(内容打印,签名要手签)(单排,无页眉和页码) 5.毕业设计(论文)指导教师评阅书(空白表格)(单排,无页眉和页码) 6. 毕业设计(论文)评阅教师评阅书(空白表格)(单排,无页眉和页码) 7. 毕业设计(论文)答辩委员会记录(表格第一栏内容打印,其他空白)(单排,无页眉和页码) 8. 中文摘要,关键词;英文摘要,关键词(中英文各占一页,注意样式的美观,单排,无页眉和页码) 9. 目录(单排,无页眉和页码) 10. 绪论(或引言)(论文主体部分单排页,从第1页开始) 11. 正文(正文:论文的字数不得少于15000字) 12. 参考文献 13. 附录(文献综述放在此部分,独立成文。若有其它资料,一并放在此部分, 以附件1,附件2……区别) 14. 致谢 格式要求 毕业论文用A4纸单面打印,正文中的汉字必须使用国家公布的规范字。 版面页边距上为2.5cm,下为2.5cm,左2cm,右为2cm。 页眉距边界为1.5cm,统一书写“华北科技学院毕业设计(论文)”,宋体五号书写。 正文首行缩进2个字,1.5倍行距。 页脚为1.75cm,页脚格式为第几页共几页(共几页为论文主体部分的总页数),居中,用宋体五号书写。 (装订时,请把本页删除)

20××届 华北科技学院 本科毕业设计(论文) 设计(论文)题目:__________________________________________ 专题题目:___________________________________________姓名:学号: 200504044501 专业班级:工商管理B05-1 院部: 管理学院 指导教师: 20××年06 月××日

专用集成电路,verilog移位乘法器,源代码及电路仿真

生命科学技术学院《CMOS专用集成电路》实验报告 学院(系):生命科学技术学院 专业:生物医学工程 班级:151011 学号:15101004 学生姓名:柳琳 2013年06 月29 日

一、实验题目 (1)更改测试文件相关部分的参数值,将该乘法器的数据位宽改为8-Bit。 (2)根据对实验电路的分析,绘制该移位式乘法器电路详细的电路结构框图,并对每一功能部件的功能及相关参数的意义进行说明; (3)采用Verilog HDL硬件描述语言设计一个16-Bit超前进位加法器; (4)在上面超前进位加法器基础上,将原电路的部分积求和电路改进成超前进位加法器。 二、实验结果与讨论 (1)更改测试文件相关部分的参数值,将该乘法器的数据位宽改为8-Bit。 module multiplier_nbit ( rst, clk, x, y, result ); parameter mwidth = 8; parameter rwidth = mwidth + mwidth; input rst, clk; … reg [1:0] stcnt; reg [2:0] mucnt; … assign mucnt_en = (stcnt == 2'b01) ? 1'b1 : 1'b0; assign mucnt_full = (mucnt == 3'b111) ? 1'b1 : 1'b0; assign stcnt_load = (stcnt == 2'b10) ? 1'b1 : 1'b0; … always @(posedge rst or posedge clk) begin if(rst) mucnt <= 3'b000; else if(mucnt_en) mucnt <= mucnt + 1; else mucnt <= mucnt; end module test_mult; reg rst, clk; reg [7:0] x, y; wire [15:0] result;

毕业设计说明完整版

本科毕业设计说明 题 目 《嘟噜嘟噜》 互动性儿童书籍中的衍生情景创意设计 姓 名 李晨 学 号 2011311201213 学 院 文法学院 专业班级 艺术设计1102 指导教师 姚克难 职 称 副教授 中国·武汉 二〇一五年六月

分类号密级 本科毕业论文 《嘟噜嘟噜》互动性儿童书籍中的衍生情景创意设计interactive children's books in the derivative scenario creative design study 学生姓名:李晨 学生学号:2010311201213 学生专业:艺术设计 指导教师:姚克难副教授 华中农业大学文法学院 二〇一五年六月

《嘟噜嘟噜》互动性儿童书籍的衍生情景创意设计 目录 摘要 ................................................................................................................................ II Abstract .............................................................................................................................. III 前言 ............................................................................................................................... I V 一、《嘟噜嘟噜》互动型儿童书籍概述 . (1) (一)《嘟噜嘟噜》儿童书籍情景设计选题背景及意义 (1) 1.选题背景 (1) 2.选题意义 (1) (二)中国儿童书籍情景研究现状以及存在的问题 (1) 1.中国儿童书籍情景设计现状 (1) 2.中国儿童书籍情景设计现状存在的问题 (2) 3.《嘟噜嘟噜》创意点 (2) 二、《嘟噜嘟噜》情景设计思路及过程 (4) (一)选题设定 (4) (二)主题风格设定 (4) (三)《嘟噜嘟噜》情景定案设计--海报设计 (5) 三、《嘟噜嘟噜》情景设计作品说明 (6) (一)《嘟噜嘟噜》情景设计作品内容 (6) 1.“嘟噜嘟噜咩乐园”“嘟噜嘟噜渡渡鸟” (6) 2.《嘟噜嘟噜》书籍情景设计中的互动形式 (7) (二)《嘟噜嘟噜》情景设计后期制作 (8) 1.书籍制作 (8) 2.周边产品制作 (8) (三)《嘟噜嘟噜》情景设计展示效果 (9) 总结 (11) 参考文献 (12) 致谢 (13)

w工程概预算毕业设计共13页

总目录 一、毕业设计任务书 二、毕业设计指导书 三、开题报告 四、毕业设计文件 五、毕业设计总结 六、实习图片 七、企业指导老师鉴定表 毕业设计任务书 课题名称二郎商贸大市场1#楼工程施工图预算 分院管理工程学院 专业工程造价 班级08造价1班 学号0316080125 姓名石亮亮 指导教师(签名)年月日 教研室主任(签名)年月日 一、课题的内容和总体要求 学院实行“2+1”人才培养模式,学生通过两年的在校理论与实践学习,最后一年到企业进行顶岗实习和毕业设计。顶岗实习和毕业设计是整个教学过程的重要环节,培养学生具有综合应用所学基础知识和专业知识,确定工程造价及造价控制的核心能力,培养学生具有适应相关拓展岗位的工作能力,为今后从事工程造价及相关工作奠定扎实基础。要求学生紧密结合顶岗实习全过程,完成毕业设计的选题、开题、设计、分析、修改定稿及答辩等工作。 二、毕业设计课题类型 (一)根据顶岗工程,编制施工图预算书1份,内容包括:

1、工程量计算书; 2、工程预算书,内容包括封面、编制说明、工程费用计算程序表、预算书、主材价格表、人材机消耗量表。 (二)根据顶岗工程,编制竣工结算书1份,内容包括: 1、工程量计算书; 2、工程结算书,内容包括封面、编制说明、工程费用计算程序表、预算书、主材价格表、人材机消耗量表。 3、该工程结算相关的设计变更联系单、工程联系单、技术核定单、现场签证单等资料复印件。 (三)根据顶岗工程,编制工程量清单计价文件,内容包括: 1、工程量计算书; 2、工程量清单文件; 3、工程量清单计价文件。 (四)根据顶岗工程,编制商务标,内容包括: 1、投标书; 2、投标书附录; 3、法定代表人资格证书; 4、授权委托书; 5、工程量清单报价表,内容包括: (1)封面 (2)编制说明 (3)投标总价 (4)工程项目总价表 (5)单项工程费汇总表 (6)单位工程费汇总表 (7)分部分项工程量清单计价表 (8)措施项目清单计价表、其他项目清单计价表、零星工作项目计价表(9)措施项目费分析表 (10)主要材料分析表 (11)措施项目费计算表(一)

quartus II 软件做4的位乘法器设计(vhdl 语言)

用quartus II 软件设计4位乘法器 1. 并行乘法的算法: 下面根据乘法例题来分析这种算法,题中M4,M3,M2,M1是被乘数,用M表示。N4,N3,N2,N1是乘数,用N表示 2.乘法模块 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity and4a is Port(a:in std_logic_vector(3 downto 0); en:in std_logic; r:out std_logic_vector(3 downto 0)); End and4a; Architecture behave of and4a is Begin Process(en,a(3 downto 0)) Begin If (en='1') then r<=a; Else r<="0000"; End if; End process; End behave;

3.加法模块 Library ieee; Use ieee.std_logic_1164.all; Entity ls283 is Port (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0)); End ls283; Architecture behave of ls283 is Begin Process(o1,o2) Begin res<=('0'&o1)+('0'&o2); End process; End behave;

信息工程学院毕业设计模板

沈阳大学毕业设计(论文)标准模板 本科毕业设计(论文)是表明作者在工程技术设计、科学研究中取得的成果,作为学生提出申请授予学士学位评审所用。学士学位设计(论文)应能表明作者确已较好地掌握了本门学科的基础理论、专门知识和基本技能,并具有从事科研教学工作或担负专门技术工作的初步能力,为使毕业设计(论文)更好地体现学生的基本理论、基本知识、基本技能和文化素质、品德素质、科学研究素质,规范我校毕业设计论文,特做如下规定:1.最终提交的毕业设计资料包括:毕业设计论文正本1份、副本1份,含有毕业设计论文的Word文档光盘(带有防压塑料保护盒)(不允许软盘),毕业设计论文手册,毕业实习考核表,其他成果(如设计图纸等)。 2.如毕业设计中涉及的计算机程序较小,可放在正文相应位置说明或作为附录放在正文的后面;如设计的程序较多,则可将程序清单(程序清单一般用5号字)单独装订成册作为附录提供。 3.毕业设计论文一律打印在A4纸上。 毕业设计论文要求全部打印,打印格式(字体、字号等)统一标准遵照下面模板:

(扉页)单独1页 虚拟仪器设计 ——虚拟医用生化分析仪 毕业论文题目(二号楷体居中) (如有副标题用三号楷体偏右) (如2人以上做1个课题时必须有副标题以体现专题部分) (本页要有页眉和页脚,但不要标注页码) 专业:计算机科学与技术(电子商务) (三号宋体,缩进在此位置,给出专业全称) 班级:2003级2班 (三号宋体,缩进在此位置,给出年级、班级) 姓名:广泛大 (三号宋体,缩进在此位置)

目录 (黑体三号居中) 引言(四号宋体左齐)6 1 虚拟仪器(四号宋体左齐) (1 后面没有点.,下同)6 1.1 测量仪器发展简介(小四号宋体左空2格对齐) 6 1.2 虚拟仪器的概念7 1.3 虚拟仪器的优势8 1.4 虚拟仪器的发展9 2 虚拟仪器的基本构成(四号宋体左齐)10 2.1 计算机(小四号宋体左空2格对齐)10 2.2 硬件10 2.3 应用软件14 3 虚拟医用生化分析仪器系统可行性分析15 3.1 仪器分析15 3.2仪器分析的特点16 3.3生化分析仪器发展趋势17 3.4现有生化分析系统的不足18 3.5虚拟医用生化分析仪器系统的技术方案的确定19 6 实验(或运行)结果与分析(此部分要作为论文重点)40 结论50 致谢(四号宋体左齐)53参考文献54附录1 DAQ卡技术数据58附录2 使用参数60附录3 源程序清单64 (注意:目录一般写至2级标题即“节”,如内容较多,也可写至3级即“目”;如写至3级标题,按小四号宋体左空4格对齐;摘要不要列入目录;目录页标题与页码之间可有“┄┄”,也可无“┄┄”;目录页也可直接由Word生成;目录及论文中的数字及英文均用times new roman) (目录页要有页眉和页脚,一般不排页码;如果排,目录页单排,且用希腊字母排,即目录页码如果标注按ⅠⅡⅢⅣⅤ等排页)

(完整版)框架结构毕业设计

第一章设计任书 1.1.1 工程概况 该工程为六层办公楼,主体为现浇钢筋混凝土框架结构,占地面积为1310㎡,建筑面积5240㎡,建筑物共6层,底层层高5.1m,标准层层高3.6m,顶层层高4.5m,总高度25.5m,室内外高差0.450m,基础顶面距离室外地面1.05m,基础采用柱下独立基础。 该办公楼主要以层为单元出租,每层为一个独立的单元,拥有接待室、会议室、档案室、普通办公室、专用办公室等。楼内设有两个电梯三个楼梯,主、次楼梯开间均为3m,进深均为6.6m,楼梯的布置均符合消防、抗震的要求。 1.1.2 设计条件 一、抗震设防烈度:7度设防,抗震设计分组为第一组,设计基本地震加速度值为0.1g; 二、基本风压: 0.55KNm2,B类粗糙度; 三、雪荷载标准值:0.2KNm2; 四、结构体系:现浇钢筋混凝土框架结构。 五、工程地质条件:拟建场地地形平坦,土质分布具体情况见表,II 类场地土。地下稳定水位距地表-9m,表中给定土层深度由自然地坪算起。建筑地点冰冻深度-0.5m。 表1-1 建筑地层一览表 序号岩土 深度 土层 深度 (m) 厚度 范围 (m) 地基土 承载力 (kPa) 压缩 模量 (mPa) 1 杂填土0.0—1. 2 1.2 --- ---

2 粉土 1.2—2.0 0.8 200 5.0 3 中粗砂 2.0—4.8 2.8 300 9.5 4 砾砂4.8—15. 10.2 350 21.0 1.2 建筑设计任务及要求 一、基本要求: 满足建筑功能要求,根据已有的设计规范,遵循建筑设计适用、经济合理、技术先进、造型美观的原则,对建筑方案分析其合理性,绘制建筑施工图。 二、规定绘制的建筑施工图为: 1、底层、标准层及顶层平面图:比例 1:150(图1-1) 2、主要立面图:比例 1:150(图1-2,图1-3) 3、屋面排水布置图:比例 1:150 4、剖面图:比例 1:150 5、墙身大样及节点详图:比例 1:100及1:10

【推荐下载】毕业设计步骤 (3000字)-范文word版 (13页)

本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除! == 本文为word格式,下载后可方便编辑和修改! == 毕业设计步骤 (3000字) 代做毕业设计】毕业设计的基本步骤 (201X-11-13 23:29:25) 标签:分类:毕业设计指导 毕业设计 毕业答辩 毕业论文 it 选择题目,收集资料,计划进度,前期准备,方案设计,详细设计,编码调试,结果验证,资料整理,撰写论文,答辩. 1.选择题目 可在参考课题中选择毕业设计题目,也可结合本单位或本人从事的工作提出设计题目,由指导教师把握选题内容的"质"与"量",确定该内容是否符合毕业设计的总体要求. 2.收集资料 搜集资料是研究课题的基础工作.可以在图书馆,资料室查资料,可以做实地调查,做实验等搜集资料,可以通过网络收集资料. 搜集资料越具体,越细致越好,应该把搜集资料的文献目录,主要内容记录下来.做实验时,要对实验过程和中间数据做全面记录. 3.计划进度 大致时间安排为: (1)准备阶段(收集资料,文献阅读,必备知识,确定方案):一周到两周 (2)设计阶段(详细设计,编码调试,结果验证):七周到十一周

(3)编写论文(资料整理,撰写论文):一周 (4)答辩阶段(答辩准备,答辩):一周 具体时间安排以教学计划为准. 4.前期准备:了解所选课题的必备知识,要求和设计步骤.在熟悉课题,调研,收集资料和数据的基础上,对设计课题进行可行性分析并形成相应的文档. 5.方案设计:用较好的方法对系统的总体结构,数据结构,控制结构,接口,界面,系统的输入,输出 方式等方面进行设计并写出分析说明书.同时按系统的总体功能进行模块划分和模块设计,明确模块设计的任务和要求. 6.详细设计:在总体方案的基础上采用较好的方法和工具对各个模块进行详细设计. 7.编码调试 8.结果验证 9.资料整理 10.撰写论文 11.答辩:一般在15分钟左右,简明扼要地说明设计的目的和意义,设计的基本内容,设计中出现的主要问题,解决问题的关键措施,毕业设计自我评价 一、毕业设计的一般步骤(参考) 在指导教师的指导下,毕业设计的过程一般可分为三个阶段:系统分析阶段、系统设计阶段、系统实施和调试阶段。 1.系统分析阶段 ⑴ 熟悉课题:毕业设计任务下达后,学生首先应了解课题的名称,课题的来源,课题的设计任务;所提供的原始数据,所要求的技术指标等。学生要对整体的设计要求有充分的了解和掌握。 ⑵ 收集资料、调查研究:围绕课题收集有关的资料,查阅有关的文献及技术参数,收集有关的数据,并对用户的实际需求等进行调研,以能对所设计课题的功能和性能有全面和深入的了解。 ⑶ 可行性分析:学生在熟悉课题、调研、收集资料和数据的基础上,对设计课题进行可行性分析并形成相应的文档。

移位相加型8位硬件乘法器设计

合肥学院 课程设计报告 题目:移位相加型8位硬件乘法器 系别:电子信息与电气工程系 专业:通信工程 班级: 13通信工程(1)班 学号: 姓名: 导师:石朝毅 成绩: 2016年 6 月 11 日

移位相加型8位硬件乘法器设计 摘要 本次设计是基于时序结构的8位移位相加型乘法器,使用软件QuartusII进行仿真设计。完成此乘法器,我们需要首先设计该乘法器的组件,包括REGSHT模块、SREG8BT模块、AND8B模块和ADDER8BT模块,并对所有元件进行仿真,无误后可进行乘法器的设计。设计方法使用的是元件例化,具体原理是通过逐项相加来实现乘法功能,最终完成整体的VHDL程序设计并仿真。 关键词:时序;乘法器;元件例化

目录 第一章前言............................................ 错误!未定义书签。设计概述............................................. 错误!未定义书签。 问题提出与原理..................................... 错误!未定义书签。 设计需要........................................... 错误!未定义书签。第二章设计过程及结果.................................. 错误!未定义书签。设计思路............................................. 错误!未定义书签。 设计须知........................................... 错误!未定义书签。 基本步骤........................................... 错误!未定义书签。设计代码及仿真....................................... 错误!未定义书签。 元件REGSHT设计代码及仿真结果...................... 错误!未定义书签。 元件SREG8BT设计代码及仿真结果..................... 错误!未定义书签。 元件AND8B设计代码及仿真结果....................... 错误!未定义书签。 元件ADDER8BT设计代码及仿真结果.................... 错误!未定义书签。 总模块设计代码及仿真结果........................... 错误!未定义书签。第三章总结............................................ 错误!未定义书签。致谢................................................... 错误!未定义书签。

软件学院毕业设计

软件学院毕业设计(论文)实施意见 毕业设计(论文)工作是培养学生综合运用所学知识和技能,分析、解决实际问题的重要教学环节,是学生创新意识、创新能力和获取新知识、提高职业能力的培养过程。 一、目的要求 1.毕业设计(论文)工作,要按照学院制订的专业人才培养方案(教学计划)组织实施。毕业设计(论文)应结合职业技能标准、企事业单位的生产和工作实际,确定毕业设计(论文)题目,明确内容与要求,鼓励学生在就业岗位进行毕业设计(论文),通过完成毕业设计(论文)应使学生受到生产、建设、管理、服务实际工作中各环节的初步训练。 2.培养学生综合运用所学的基础理论、基本知识和基本技能,解决一般工程技术问题的能力,以巩固、扩大、深化所学知识。 3.培养学生的独立工作能力,初步掌握专题调查研究的手段和方法,学会正确查阅、收集、分析整理文献资料,并进行设计、计算和科学实验的能力。 4.培养学生实事求是、谦虚谨慎、严肃认真的工作作风;培养学生刻苦钻研、勇于创新的科学精神。使他们在解决工程问题中具有正确的指导思想、工作态度和工作方法。 二、选题原则和要求 1.毕业设计(论文)的选题,应在满足专业人才培养目标的前提下,尽可能结合生产、建设、管理和服务等领域的实际,真题真做。在内容要求上,要明确专业基本技能训练与培养创新能力所占的比重,课题的设计难度要适中,使学生在规定的毕业设计(论文)工作时段内,能满负荷地工作。 2.毕业设计(论文)原则上每生一题,多人一题的情况必须要有明确的分工和侧重,并在设计(论文)成果中得到具体反映和体现。 三、指导教师 指导教师在学生的毕业设计中应引导学生以科学、认真的态度进行设计,以保证毕业设计的水平和质量。 1.指导教师原则上应具有中级以上(含中级)专业技术职务(含外聘人员)。具有初级专业技术职务人员,不能单独作为毕业设计(论文)指导教师。

各种乘法器比较

各种乘法器比较 韦其敏08321050 引言:乘法器频繁地使用在数字信号处理和数字通信的各种算法中,并往往影响着整个系统的运行速度。如何实现快速高效的乘法器关系着整个系统的运算速度和资源效率。本位用如下算法实现乘法运算:并行运算、移位相加、查找表、加法树。并行运算是纯组合逻辑实现乘法器,完全由逻辑门实现;移位相加乘法器将乘法变为加法,通过逐步移位相加实现;查找表乘法器将乘积结果存储于存储器中,将操作数作为地址访问存储器,得到的输出数据就是乘法运算结果;加法树乘法器结合移位相加乘法器和查找表乘法器的优点,增加了芯片耗用,提高运算速度。 注:笔者使用综合软件为Quartus II 9.1,选用器件为EP2C70,选用ModelSim SE 6.1b进行仿真,对于其他的软硬件环境,需视具体情况做对应修改。 汇总的比较: 详细实现过程: 1.并行乘法器 源代码: module Mult1(outcome,a,b); parameter MSB=8; input [MSB:1] a,b; output [2*MSB:1] outcome; assign outcome=a*b; endmodule

资源耗用情况: ModelSim测试激励文件源代码:`timescale 10ns/1ns module Mult1_test(); reg [8:1] a,b; wire [16:1] outcome; Mult1 u1(outcome,a,b); parameter delay=2; initial begin a=1; b=0; end initial forever begin #delay a=a+1; b=b+1; if(outcome>=16'h0FFF) $stop;

(完整版)毕业设计周记

《毕业设计第一周》 本周是毕业设计的第一个星期,是我们真正实习生活的开始,是汇报我们大学三年来在学校学习成果的开始,是步入社会前的一个重要阶段。寒假气息未过的我们,在何庆稀老师的带领下,我们一共12位同学开始了为期两个月的毕业设计----自动灌装封口包装机械开发设计。 我们毕业设计的教室里有两台包装机,在对其中一台“SOD蜜软包装”封装压印及扁尾剪切机初步了解它的工作原理,以及对它各部件进行分析后,我们使用以前学过的UG、CAD软件进行测绘,在画零件图和装配图期间,我们进一步了解了它的结构,有些不懂的,我们可以请教老师、同学,并且通过上网查阅,还可以与企业人员共同了解,这让我们觉得更有实地性。第一周的毕业设计就这样如火如荼的进行着,同学们的互相帮助,促使我们更有动力、更有信心去完成此次毕业设计。 第一周的毕业设计过的很快,在紧张有序的过程中,我们领略了毕业设计的氛围以及一系列的学习内容,期待周六的企业参观,以及新软件的学习,相信接下去的几周我们会做得更好。 《毕业设计第二周》 这周是毕业设计的第二周,有了明确的课题后我们12位同学分为了4小组,开始展开着忙碌的毕业设计,我分配到的课题还是大宝SOD 蜜封装压印及扁尾剪切机设计及制作,在有了教室里那台实物的借鉴,还有上周的简单分析后我们组有了更好的条件,起初的不知所措,

到后来的一步步的零件拆卸,然后3个人的分工测绘,忙忙碌碌的一周就这样悄然而过,一周中,有过困难,有过迷茫,有过一种种的担心,担心画好零件后不知道怎么去装配,不知道怎么去一步步的改装。相对来说我们这组不是很强,没有很好的基础,也没有特别的技术,对我们来说的确是一种考验,我想这就是毕业设计的初衷吧,它能够让我们有更好的想象力去设计我们的毕业设计产品,能够更巩固我们所学的知识。忙忙碌碌的设计有了不知怎么形容的感觉。又到周五了,该对剪切机装配了,可是问题却接踵而至,在装配一上午的零件图的同时,我们不知道该怎么往下进行了。。。不过我相信,在我们的共同努力下我们会完成的。 《毕业设计第三周》 时间过得好快,每天的忙碌生活让最后在校的日子过得那么充实,这已经是毕业设计的第三个星期了,通过网络的资料查询,我们组对SOD蜜灌装封尾机的手动螺旋升降式支架有了新的改进,在原有的基础上做了更进一步的设计,对以前的外观以及放置上有了一定的突破,自我感觉还好,毕竟在自己还有组员的努力下渐渐的在完成着我们的任务,即使有一些些困难,在老师和同学的帮助下,我们也都能迎刃而解。 这些天来,渐渐的对UG有了一步步的熟悉,但有那种设计的感觉,却总不能实现,我觉得这就是我们该进步的地方。觉得吧,在这个办公室里做毕业设计我们是幸福的,因为天气冷了,我们有空调;有问题了,我们可以随时请教老师;想查资料了,我们还有电脑。在如此

相关文档
最新文档