VHDL必须掌握的知识点和相关例题整理

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

VHDL总复习之

必须要掌握的知识点和相关例题

目录

VHDL总复习之必须要掌握的知识点和相关例题................... 错误!未定义书签。

1. VHDL语言的基本设计实体和完整的VHDL语言程序的结构 ... 错误!未定义书签。

2. 进程(PROCESS)语句的结构............................ 错误!未定义书签。

3. VHDL语言子程序的结构................................ 错误!未定义书签。

(1). 过程(Procedure).............................. 错误!未定义书签。

(2). 函数(Function)............................... 错误!未定义书签。

4. 过程与函数的主要区别................................. 错误!未定义书签。

5. 进程和子程序中使用的语句............................. 错误!未定义书签。

6. VHDL语言中客体的概念及使用范围...................... 错误!未定义书签。

7. 信号和变量的区别及作用范围........................... 错误!未定义书签。

8. VHDL语言的数据类型和运算操作........................ 错误!未定义书签。

9. VHDL语言构造体的三种描述方式........................ 错误!未定义书签。

10. COMPONENT(元件)语句和COMPONENT—INSTANT(元件例示)语句的功能和书写

格式错误!未定义书签。

11. VHDL语言的主要描述语句的功能、使用场合和语法格式 .. 错误!未定义书签。

12. VHDL语言的命名规则................................ 错误!未定义书签。

13. VHDL语言的数值类属性描述.......................... 错误!未定义书签。

14. VHDL语言的信号属性函数............................ 错误!未定义书签。

15. 数值系统的定义方法,各种状态的含义................. 错误!未定义书签。

16. 采用有限状态机进行电路设计的基本方法............... 错误!未定义书签。

17. 仿真Δ延时引入的原因和对并发语句仿真结果的影响..... 错误!未定义书签。

18. 逻辑综合的概念与主要步骤........................... 错误!未定义书签。

19. 运用VHDL语言和FPGA进行电路设计的主要步骤......... 错误!未定义书签。

VHDL的全称以及利用VHDL设计硬件电路所具有的特点

Very High Speed Integrated Circuit Hardware Description Language (超高速集成电路硬件描述语言)

(1)设计文件齐全、方法灵活、支持广泛

(2)系统硬件描述能力强

(3)VHDL语言可以与工艺无关编程

(4) VHDL语言标准、规范、易于共享和复用

1.VHDL语言的基本设计实体和完整的VHDL语言程序的结构

一个VHDL语言的基本设计实体由实体说明和构造体两个部分构成;一个完整的VHDL 语言程序包含5个部分:实体、构造体、配置、包集合(程序包)和库。

2.进程(PROCESS)语句的结构

主要特点:并发语句,由敏感信号量启动;内部的语句顺序执行,进程不能嵌套。

3.VHDL语言子程序的结构

VHDL语言子程序有两种类型:过程、函数

(1).过程(Procedure)

在程序包中定义的格式(用户自定义过程的设计方法):

PACKAGE 包集合名 ISPROCEDURE 过程名(参数1,参数2,…)

END 包集合名;

PACKAGE BODY 包集合名 IS

PROCEDURE 过程名(参数1,参数2,…)IS

[定义语句]

BEGIN

[顺序处理语句];

END [过程名];

END 包集合名;

过程调用的方法:过程名(参数1,参数2,…);

过程调用语句属于并发语句。

(2).函数(Function)

在程序包中定义的格式(用户自定义函数的设计方法):

PACKAGE 包集合名 ISFUNCTION 函数名(参数1,参数2,…)

RETURN 数据类型名

END 包集合名;

PACKAGE BODY 包集合名 IS

FUNCTION 函数名(参数1,参数2,…)

RETURN 数据类型名 IS

[(变量)定义语句]

BEGIN

[顺序处理语句];

RETURN [变量名];

END [函数名];

END 包集合名;

函数调用的方法:出现于语句的表达式中。

4.过程与函数的主要区别

函数只包含有输入参数,只有一个返回值;过程即包含有输入参数,也包含输出参数或输入输出参数,可以有多个返回值,这些返回值通过过程中定义的输出参数带回。

5.进程和子程序中使用的语句

进程中和子程序的所有语句按顺序执行,只能使用顺序描述语句。

6.VHDL语言中客体的概念及使用范围

VHDL语言中可以赋予一个值的对象称为客体;

客体主要包括三种:信号、常数、变量;

信号和常数为全局量,变量为局部量。

7.信号和变量的区别及作用范围

信号和变量值的代入不仅形式不同,而且操作过程也不相同。变量的赋值使用赋值符“:=”,信号的代入使用代入符“:=”。变量的赋值在赋值语句执行时立即生效,信号的代入在代入语句执行时并不立即发生,代入语句的处理和实际的代入过程是分开进行的。实际的代入过程在进程(PROCESS)或子程序(SUBPROGRAM)语句执行完毕时发生,与代入语句的处理存在延时。

变量是局部量,作用范围是进程和子程序;信号是全局量,作用范围是构造体、实体和程序包。

例:

ARCHITECTURE behave OF xinhao IS

……………………………

P1:PROCESS(A,B,C,D)

BEGIN

D<=A;

相关文档
最新文档