基于FPGA的高速数据采集卡的设计(毕业设计)

基于FPGA的高速数据采集卡的设计(毕业设计)
基于FPGA的高速数据采集卡的设计(毕业设计)

本科毕业设计说明书

基于FPGA的高速数据采集卡的设计DESIGN OF HIGH-SPEED DATA ACQUISITION CARD

BASED ON FPGA

学院(部):电气与信息工程学院

专业班级:

学生姓名:

指导教师:

年月日

基于FPGA的高速数据采集卡的设计

摘要

论文还从宏观和微观两个方面来分析数据采集卡的各个组成部分。从宏观上分析了采集系统中各个芯片间的数据流向、速度匹配和具体通信方式的选择等问题。使用乒乓机制降低了数据处理的速度,来降低FPGA中的预处理难度,使FPGA处理时序余量更加充裕。在ARM与FPGA通信方式上使用DMA传输,大大提高了数据传输的速率,并解放了后端的ARM处理器。设计从宏观上优化数据传输的效率,充分发挥器件的性能,并提出了一些改进系统性能的方案。从微观实现上,数据是从前端数据调理电路进入AD转换器,再由FPGA采集AD转换器输出的数据,后经过数据的触发、成帧等预处理,预处理后的数据再传输给后端的ARM处理器,最后由ARM处理器送给LCD显示。微观实现的过程中遇到了很多问题,主要是在AD数据的采集和采集数据的传输上。在后期的系统调试中遇到了采集数据错位、ARM与FPGA通信效率低下,还有FPGA 中预处理时序紧张等问题,通过硬件软件部分的修改,问题都得到一定程度的解决。在整个数据采集卡的设计过程中还遇到高速PCB设计、硬件设计可靠性、设计冗余性和可扩展性等问题,这些都是硬件设计中的需要考虑和重视的问题,在论文的最后一章有详细论述。

关键词:高速数据采集,触发,高速PCB设计,高速ADC

DESIGN OF HIGH-SPEED DATA ACQUISITION CARD

BASED ON FPGA

ABSTRACT

Date acquisition is the premise of measure, the foundation of analysis and the beginning of cognition. Most precise device is based on the date acquisition. With the development of the electronic and digital technology, the speed of date transmission and the calculation of CPU are faster and faster; therefore the requirements of data acquisition and processing are more severe than before.

This paper analyzes the system from Macro-and micro respect. From the macro point of view it analyzes data flowing, speed matching and the selection of specific means of communication of acquisition system and so on. We adapt ping-pong mechanism to reduce the speed of analyzing data and pre-difficult of FPGA which lead to the ease of processing Timing Margin of FPGA. DMA transfer is used as communication between ARM and FPGA which improve data transmission rates, and liberate the back-end ARM processor. From the micro point of view, data enter into the A/D converter from the front-end conditioning circuitry, FPGA collecting data on the output of A/D converter and go through the pre-operation of triggering and framing of data. After these operations, data are transmitted to the back-end of the ARM processor and then display on the LCD. A lot of difficult exited in the successful operation in the micro respect which is mainly about A/D data collection and the of transmission data. All of these issues have been settled by the revising of hardware and software.

KEYWORDS:High-speed Data Acquisition, Triggering, High-speed PCB High-speed, A/D converter

1绪论

1.1 引言

数计算机技术在飞速发展,微机应用日益普及深入,微机在通信、自动化、工业自动控制、电子测量、信息管理和信息系统等方面得到广泛的应用。在冶金、化工、医学和电器性能测试等许多应用场合需要同时对多通道快变的模拟信号进行采集、预处理、暂存和向上位机传送,再由上位机进行数据分析处理、自动报表生成、信号波形显示和输出打印等处理。

随着大规模集成电路技木的迅速发展,微处理器、存储器、输入/输出等外围接口器件的性能不断提高,体积越来越小,价格越来越低,使数据采集器不断向智能化、小型化发展,使智能化仪器的研制已经成为当今研制的主要方向。模拟仪器存在输出动态范围小,对大动态信号处理线性差,因而精度低、信号不可记录等缺点。在模拟电子技术领域中,由于使用了包括模数转换器件在内的数字器件,因而在精度、简化电路结构、灵活、方便等方面取得很大的进步。模拟技术和数字技术混合运用以综合发挥两者的优势己是电子技术发展的必然趋势。而且微处理器由于价格越来越低,功能也不断增强。以数字化仪器为主的数据采集处理技术越来越广泛地应用于工业过程控制及实时观察工业生产的动态及趋势。传统获取现场数据的方法,效率低、误差大、难以输入计算机。而数据采集器是一种具有现场实时数据采集、处理功能的自动化设备,它具备实时采集、自动存储、即时显示、即时反馈、自动处理、自动传输等功能。为现场数据的真实性、有效性、即时性、可用性提供了保证,并能方便输入计算机,已广泛应用在工业、农业、商业、交通、物流、仓储等行业。

1.2数据采集与处理系统概述

数据采集与处理系统的任务,就是采集传感器输出的模拟信号并转换成计算机能识别的数字信号,送进计算机处理、存储、传输和显示,以便实现对某些物理量的监视;其中一部分数据还将被生产过程中的计算机控制系统用来控制某些物理量。

随着计算机技木的飞速发展和普及,数据采集系统也迅速地得到应用。在生产过程中,应用这一系统可对生产现场的工艺参数进采集、监视和记录,为提高产品质量、降低成本提供信息和手段。在科学研究中,应用数据采集系统可获得大量的动态信息,是研究瞬间物理过程的有力工具也是获取科学奥秘的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理越及时工作效率就越高,取得的经济效益就越大。

数据采集系统性能的好坏,主要取决于它的精度和速度。在保证精度的条件下应

有尽可能高的采样速度,以满足实时采集、实时处理和实时控制对速度的要求。

1.3 数据采集卡主要的性能指标

根据设计要求,本课题研制的数据采集卡主要有以下的技术指标和要求:

1. 单通道模拟输入,信号最高采样率为250MSPS;

2. 分辨率:8bits

3. 单通道模拟输出,14位分辨率,采样率最高175MSPS;

4. 支持电平、上升/下降沿等常见触发;

5. 支持RS232输出;

6. 八路数字I/O输出。

1.4 本文主要研究工作

论文的主要任务是基于FPGA的高速数据采集卡的硬件设计,并且针对具体的方案讨论如何提高采集的性能。这一部分在今后的进一步研究中有重要的意义,具体的研究内容如下:

1. 数据采集卡的整体设计方案选择和芯片选型。

2. 各芯片间数据通信方案选择,各部分处理速度分析。

3. 高速PCB设计与调试。

4. 前端采集与FPGA预处理,整个系统的逻辑控制。

5. 高速DAC内部寄存器配置,控制模拟数据输出。

2 系统设计概述和主要器件选型

2.1 系统设计方案

整个系统是由前端模拟通道、触发电路、FPGA 数据采集预处理、数据模拟输出四部分组成。FPGA 数据采集预处理分为A/D 数据采集、触发控制、帧控制、SDRAM 控制器四个部分,模拟数据经过A/D 装换后在FPGA 中缓冲,缓冲之后使用触发控制将采集到的数据分成512个数据点组成的数据帧,数据按照帧的顺序传输,经过SDRAM 存储后。

具体的数据采集系统的硬件结构图如下图2-1所示:

图2-1 数据采集卡硬件结构图

2.2 ADC 芯片选型

A/D 转换器是整个采集系统的核心,系统前端模拟电压调理电路、FPGA 数据采集和后端的采集控制部分都与A/D 直接相关,A/D 芯片的选择不但关系到系统设计的性能,而且直接决定了整板设计的难度。

基于综合考虑我们选用了Analog Device 公司生产的AD9480芯片,AD9480采样率高达250MSPS 、8位转换精度,同时保持士0.25LSB 优良的微分线形误差(DNL)。该DNL 技术指标比具有相同转换速率的同类IC 高两倍。为了减小系统的功耗,芯片采用3.3 V 电源供电,工作时钟为差动解码时钟,内置有基准电压源和采样跟踪保持电路。AD9480支持多路分配的TTL/CMOS 输出逻辑和低电压差分信号(LVDS)输出。在CMOS 多路分配模式下,AD9480可以交叉存储模式或并行模式以半时钟速率在两个8bit 通道中移动数据。当工作在LVDS 输出模式时,AD9480通过单一输出通道以全时钟速率输出数据,以达到最佳的输出性能。

由于AD9480在DNL 方面的优良性能,使其适合运用在数字示波器和网络分析仪FPGA

AD

模拟通道SDRAM

PLL

DA GPIO

触发电路

模拟输入时钟模拟输出

等要求精确明显输入信号较小的应用中,同时也适合要求高采样率和高宽带宽的应用场合。因此,AD9480是本设计中所需ADC的最佳选择。

AD9480的模拟输入驱动着一个高带宽的跟踪保持电路;然后经过一个8bit的ADC内核对其信号进行采样、量化;最后把量化的数字信号通过LVDS输出。同时内部还包括了一个可以接受TTL、CMOS、LVPECL等输入电平的基准电压源,以确保AD9480更容易的使用。由于PCB设计时使用两层板设计,为了保证输出信号的回流面积尽可能小,数据输出和采集时钟都选择LVDS。

2.3 DAC芯片选型

为了输出高性能的模拟信号,DAC采用采样率高达175M的高速DAC。AD970X系列DAC 针对低功耗特性进行了优化,同时仍保持出色的动态性能,适合用于手持便携式仪器等需要有效地合成宽带信号的场合。AD9707 精度高达14位,采样率为175MSPS,内部集成边沿触发式输入锁存器,1V温度补偿带隙基准电压源和自校准功能,使AD9707能提供真14位INL与DNL性能。AD9707还具有共模电位移动能力,当与其他模拟器件连接时无需电平移动电路;并简化了模拟电路的设计并且降低了小型便携式设计中的印制电路板面积。AD9707的具体特性如下:

1.低电压:完整的CMOS DAC操作电压3.6V-1.7V。3.3V时功耗50mW,1.8V时功耗12mW。DAC满刻度电流可以为低功耗操作而减小。可以为休眠和掉电模式提供低功耗的空闲周期。

2.自校准:自校准可以正确发挥AD9707的14-bit INL和DNL 性能。

3.二进制补码支持:数据输入支持二进制补码或直接二进制数据编码。

4.灵活的时钟输入:可选择的高速单端、差分 CMOS 时钟输入。支持175MSPS 转换速率。

5.设备配置:设备可以通过引脚短接配置,也可以通过SPI控制进行高级编程。

6.易与其它元件连接:可调节的通用输出模式易于和其他0-1.2V的信号连接。

7.片上参考电压:AD9707 包含一个1.0V的内部基准电压参考。

2.4 FPGA芯片

在现代采集系统中FPGA往往被用做通信系统的中枢,负责了大量的数据采集和前期处理和控制工作,FPGA作为系统的中间级主芯片承担着承前启后的重大任务。

常见的FPGA一般由六部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。

1.可编程输入/输出单元

大多数FPGA的I/O单元被设计为可编程模式,即通过软件的灵活配置,可适应不

同的电器标准与I/O物理特性;可以调整匹配阻抗特性,上拉下拉电阻;可以调整输出驱动电流的大小等。

2.基本可编程逻辑单元

FPGA的可编程逻辑单元基本是由查找表(LUT)和寄存器(Register)组成的。FPGA一般依赖寄存器完成同步时序逻辑设计。一般来说,比较经典的基本可编程单元的配置是一个寄存器加一个查找表。学习底层配置单元的LUT和Register比率的一个重要意义在于器件选型和规模估算。器件选型是一个综合性问题,需要将设计的需要、成本的压力、规模、速度等级、时钟资源、I/O特性、封装、专用功能模块等诸多因素综合考虑。

3.嵌入式块RAM

目前大多数FPGA都有内嵌的块RAM。嵌入式块RAM可以配置为单端口RAM、双端口RAM、伪双端口RAM、CAM、FIFO等存储结构。根据设计需求,块RAM的数量和配置方式也是器件选型的一个重要标准。

4.丰富的布线资源

布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。根据工艺、长度、宽度和布局位置而划分为以下不同的等级:1)全局性的专用布线资源:以完成器件内部的全局时钟和全局复位/置位的布线;

2)长线资源:用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线;

③短线资源:用来完成基本逻辑单元间的逻辑互连与布线;

④其他:在逻辑单元内部还有着各种布线资源和专用时钟、复位等控制信号线。

由于在设计过程中,往往由布局布线器自动根据输入的逻辑网表的拓扑结构和约束条件选择可用的布线资源连通所用的底层单元模块,所以常常忽略布线资源。其实布线资源的优化与使用和实现结果有直接关系。

5.底层嵌入功能单元

底层嵌入功能单元的概念比较模糊,这里我们指的是那些通用程度比较高的嵌入式功能模块,比如PLL(Phase Locked Loop)、DLL(Delay Locked Loop)、DSP和CPU等。随着FPGA的发展,这些模块被越来越地嵌入到FPGA的内部,以满足不同场合的需要。

6.内嵌专用硬核

内嵌专用硬核与“底层嵌入单元”是有区别的,这里指的硬核主要是那些通用性相对较弱,不是所有FPGA器件都包含硬核。如高速串并收发单元、PCI-e接口硬核等。

系统所选用的EP3C25Q240C8N是Altera Cyclone系列的第三代产品。Cyclone III 系列FPGA前所未有地同时实现了低功耗、低成本和高性能,进一步扩展了FPGA在成本敏感的大批量领域中的应用。EP3C25拥有24624个逻辑单元,内部集成66个

M9K 嵌入式存储器模块,内部RAM资源多达608Kbits,66个嵌入式18*18乘法器,4个内部PLL,最大用户I/O引脚数量148个,83个差分通道。系统中AD输出为LVDS,因此需要多达十组的LVDS通道,数据缓冲需要大量的内部RAM资源,EP3C25内部的66个M9K资源可以很好的满足设计要求。AD采样时钟由FPGA片内PLL倍频获得,而且多个片内PLL更加有利于AD时钟的分频与控制。

2.5 FPGA的设计步骤

1.电路设计与输入

电路设计与输入是指通过某些规范的描述方式,将工程师电路构思输入给EDA 工具。常见的使用HDL语言编程和原理图输入两种方式。

2.功能仿真

使用HDL描述完电路后,要用专业的仿真工具对设计进行功能仿真,验证电路功能是否符合设计要求。功能仿真一般称为前仿真,主要使用的软件是ModelSim。通过仿真能及时的发现设计中的错误,加快设计进度,提高设计的可靠性。

3.综合优化

综合优化其实就是将我们编写好的HDL语言用FPGA内部的与非门、触发器和RAM等基本逻辑单元实现,并按照目标与要求优化所生成的逻辑连接。通常我们的做法是直接使用器件厂商自带的综合工具进行综合,在根据目标优化方面做的很不足。常见的综合优化工具有Synplicity公司的Synplify。

4.综合后仿真

综合完成后需要检查综合结果是否与原设计一致,那就要做综合后仿真,它一般就是指带门延时的仿真。特别是当遇到布局布线后仿真时发现有电路结构与设计意图不符的现象,则常常要回溯到综合后仿真以确认是否是由于综合歧义造成的问题。

5.实现与布局布线

基本逻辑单元组成的网表,它与芯片的实际结构还是有差别的,这时需要使用FPGA厂商自己的工具,根据所选芯片的型号,将综合输出的逻辑网表适配到具体FPGA上,这就是实现过程。布局是指将逻辑网表中的硬件原语或者底层单元合理的适配到FPGA内部的固有硬件结构上,布局的优劣对设计的最终实现结构(在速度和面积两方面)影响很大;布线是指根据布局的拓扑结构,利用FPGA内部的各种连线资源,合理正确连接各个元件的过程。在高速电路设计中,对时序约束和布局布线有很高的要求,这是在设计初期就已经决定好的。

6.时序仿真和验证

将布局布线的延时信息反标注到网表中,进行的仿真就叫时序仿真,也称为后仿真。

7.板级仿真与验证

在有些高速设计的情况下需要使用第三方的板级验证工具进行仿真验证,如Mentor Hyperlynx等可以通过对设计的IBIS、HSPICE等模型的仿真,能较好的分析高速设计的信号完整性、电磁干扰等电路特性。

8.调试与加载配置

将配置文件加载到FPGA中,再使用示波器、逻辑分析仪等仪器分析输出信号,在一些简单的设计中可以使用QuartusII内嵌的SignalTapII对设计进行在线逻辑分析。

2.6 FPGA核心电路设计

FPGA各系列的最小系统板的单元组成基本相同,仅具体电路中存在着差异。一般可以把其组成分为七部分:FPGA主芯片、PROM存储芯片、电源电路、全局时钟发生电路、JTAG接口电路、下载模式选择电路和接口引出插针。以该最小系统板作为控制核心,外加所需的接口电路就可以实现各种设计。

整个系统的FPGA部分都是围绕EP3C25设计,其他外围的器件的选择都是根据它的特点设计。由于EP3C25的配置文件大小为5.8M,因此外围的PROM配置芯片选用EPCS16;FPGA除了核心供电1.2V外,I/O供电上还必须区分,因为FPGA的bank5和bnak6与AD的LVDS直接相连,因此在这两个bank上的I/O供电必须是2.5V;全局时钟的发生电路主要由50M的有源晶振组成,在晶振电源上加入磁珠和电容用来吸收和滤除高频分量,保证电源输入的稳定,时钟输出端串联一个33Ω电阻保持时钟输出信号完整性;JTAG电路中的VCCIO必须使用2.5V与其他的电路使用3.3V不同;配置方式的选择依然可以通过MSEL[0..2]的不同接法决定。具体的FPGA外围电路见附录2。

3 数据采集与触发电路设计

FPGA高速采集和采集后的触发控制是系统设计的关键部分,它直接决定了数据的正确性和稳定性。本章主要是对FPGA数据采集、触发控制和存储器控制三个方面加以论述,再结合实验中遇到的问题,对FPGA数据采集和触发控制中的关键部分进行分析,来达到高效高速采集的目的。

3.1 AD前端调理电路

前端调理电路目的就是将被测信号调理到AD9480模拟输入的电压范围。被测信号经过前端阻抗变换网络之后,进入后级运算放大器,后级选择高阻抗低噪声运算放大器ADA4817,输入阻抗高达500GΩ。后级信号经过调理之后输入AD8351中,AD8351主要是将输入的单端信号转换为AD9480需要的差分信号。与多数高速、高动态范围的A/D一样,AD9480也是采用差分模拟输入。模拟信号采用差分输入可以改善很多性能,其中最主要的一点就是差分结构对模拟输入信号的偶次谐波有较高的抑制性,而且对共模噪声有着非常好的抑制作用。

设计中使用AD8351将单端信号转换为差分输出来驱动AD9480。AD8351是ADI 公司推出的一款低功耗、高带宽差分放大器。它采用10引脚的MSOP封装,在宽泛范围内能具有良好的低噪声和失真特性。因此AD8351是设计高精度采样系统的最佳选择,AD8351还可实现信号的单端变差分。

下图3-1为AD8351单端转差分的典型电路:

图3-1 AD8351单端转差分的典型电路

10

11

模拟信号经过AD8351转换为差分信号之后进入AD9480。设计中可以使用S1引脚来选择数据输出格式和占空比,当输出LVDS 格式数据时,LVDSBIAS 必须通过

3.75KΩ接地,来调整LVDS 输出电流。可以由SENSE 来决定满刻度的大小,通过变化跳线来改变SENSE 引脚的电压来实现不同的满刻度范围,SENSE 默认为接地,表示ADC 使用内部1V 作为满刻度范围,同时外部参考引脚VREF 接地。下图3-2为AD9480功能模块图,通过配置上述功能引脚后AD9480即可以正常工作。

下图3-2为AD9480功能模块图:

图3-2 AD9480功能模块图

3.2 FPGA 数据采集

设计中使用FPGA 内部的RAM 来缓冲AD 输出的数据,EP3C25内部的RAM 资源总共有608Kbits ,使用这些内部的RAM 作为数据缓冲单元,既可以节约成本,又可以提高硬件采集的性能。使用FPGA 内部的RAM 资源,设计中可以非常容易的构建前端数据缓冲所需要的双口RAM 或者FIFO 。

FPGA 内部RAM 的存在形式一般有以下几种,分别为512bit 的M512,4kbit 的M4K,以及9kbit 的M9K 。EP3C25内部就有66个M9K 嵌入式存储器模块,它们均匀散布在FPGA 的各个bank 中,用M9K 实现真正的双口RAM 。C8等级的FPGA 实现双口RAM 的最高的工作为238MHZ ,而AD9480最大的采样率为250M ,因此使用FPGA 来缓冲数据完全可以满足设计要求。

在设计之前就要根据采集信号的频率和AD 采样频率来综合决定使用RAM 的大小,过多的使用M9K 模块会使得后期设计资源短缺,过少的使用M9K

模块会使得一次

采集数据不够。FPGA内部的M9K模块数量是固定的,分布在FPGA内部的区域也是固定的,如果一味的追求大容量的数据缓冲而使用多个不同位置的M9K模块,在各个模块之间可能也会出现难以控制的时序问题。

由于数据采集卡上的FPGA部分可以由ARM处理器灵活的配置,因此在系统设计上我们就有更大的灵活度。系统调试时使用一个M9K模块组成1024*8bits的双口RAM,作为前级的数据缓冲模块,下图3-3为典型的双口RAM模块图。

图3-3 典型的双口RAM模块图

AD转换后一共输出为9路LVDS信号,8路LVDS数据输出和1路LVDS同步时钟输出,输出的频率与AD的采样频率一致。设计使用Altera公司自带IP库中的ALTIOBUF可以方便的将9路LVDS转换为单端信号,分别作为双口RAM的八位的数据线data[7..0]和写入时钟wrclock。由于AD数据采集具有连续性,数据和时钟是同步的,因此我们可以使用时钟累加,来设计出与数据一致的地址信号。设计中使用AD输出的同步时钟,在每次时钟上升沿时进行加一操作,输出即为十位二进制的地址线。通过上面这个简单的双口RAM就可以实现AD数据的采集。

在实验的过程中我们会遇到采样点过多和采样点过少的问题。当被测信号的频率较低,而AD采样率固定时,采集的1024点数据可能都不够采集被测信号的一个周期,这就产生了信号的过采样;而当被测信号的频率较高,而AD采样率固定时,采集的1024点数据可能采集了多个周期,这就产生了信号的欠采样。为了很好的解决上述两个问题,设计之前就必须对被测信号和AD的实际能力进行分析,AD的采样率最高为250M,而实际中考虑到可靠性和设计难度,我们选用的最高频率为200M,以100M的采样率为基准频率对被测信号进行采样,为了保证显示的效果,默认显示时出现的信号波形四个周期。在数据采集的过程中先使用100M作为默认的采样率,采集完的数据经过FPGA内部的测周期模块分析之后,对AD的采样率进行重新选择,通过分频和倍频输出合适的采样率。

12

13

3.3 触发分析

触发的目的是同步,触发的过程是比较。当触发条件与我们的被测信号一致时,就启动触发信号。比较的两端一个是原始的被测信号,另一个是我们人为设置的触发量,现在我们就从这两个量入手分析一下触发的类型和结构。

图3-4 基本的触发结构图

基本的触发结构图如上图3-4所示,输入信号有模拟和数字两种形式,因此与之比较的触发信号也对应的有模拟和数字两种形式。触发方式很容易的被分为模拟触发和数字触发两种,模拟触发的触发信号一般来自外部,因此大部分的模拟触发都是外触发;而数字触发一般都是在FPGA 中比较的,比较的信号都是数字量,而且是通过外部控制信号量化到处理器内部形成,因此内触发一般都是数字触发。系统中的模拟触发有电平触发,数字触发有边沿触发和脉宽触发等。

3.4 触发控制

典型的触发信号为比较器输出的脉冲信号,触发控制是根据触发脉冲的起始位置,采集起始位置之后的一帧数据,由于每一次触发信号产生时,采集的数据都是在一个固定的值,因此将每次触发后的512个数据点组合为一帧,在显示上就会出现一个稳定的数据波形。整个控制和实现上述功能的过程就是触发控制。本系统中在前端数据缓冲部分使用1024*8bit 的双口RAM ,而一个数据帧我们根据显示的数据量选择512*8bit 的双口RAM 。触发控制就是要在触发信号出现后,将连续512个地址上的数据送到512*8bit 的帧存储器中。具体的触发控制电路如下图3-5所示:

AD 模拟输入模拟触发信号模拟比较器数字比较器外部触发信号量化数字触发信号触发控制内触发信号FPGA

帧控制

14

图3-5触发控制FPGA 顶层原理图

3.5 SDRAM 控制器的设计

同步动态随机存储器(SDRAM )具有存储容量大、价格便宜等特点,与SRAM 相比SDRAM 需要额外的控制逻辑和刷新机制,这使得SDRAM 的存储速度一般而且增加了SDRAM 设计的难度。由于SRAM 结构上的瓶颈,很难用于大容量存储,而随着SDRAM 的工艺和技术不断改进,陆续出现了DDR3、DDR5 SDRAM ,它们的频率都在1GHZ 以上,甚至高达4GHZ ,单片容量已达到2GB ,价格也相对低廉,因此SDRAM 成为了高速大容量数据存储的首选。

3.5.1 SDRAM 工作原理

SDRAM 是具有同步接口的高速动态访问存储器,SDRAM 的同步接口和完全流水线的内部架构允许极快的数据速率,SDRAM 器件内部由多个“体(BANK )”的组成,通过行地址和列地址来寻址,存储体的行和列地址的位数取决于存储器的容量。

SDRAM 的控制是通过总线命令实现的,命令由RAS (行地址选通), CAS (列地址选通), 和 WE (读使能)信号联合产生,例如,在某个时钟周期时,如果3个信号均为高电平,表示发出空操作命令(NOP ), NOP 命令时片选信号也无效。

SDRAM 的访问是通过一系列命令进行的,SDRAM 上电后,必须首先按照预定的方式进行初始化才能正常的运行。SDRAM 初始化操作过程如下:

上电并且时钟稳定后至少等待100us ,然后至少执行1条空操作;对所有页执行预充电操作,这个期间SDRAM 内部寄存器单元控制逻辑处于空闲状态,随后向各页发出八条刷新操作指令;最后执行SDRAM 工作模式的设定LMR 命令用来配置SDRAM 工作模式寄存器,写完模式寄存器以后,初始化过程完成。

在行地址被选定并且相应的行被打开之后,就可以进行读操作了。SDRAM 读、写操作过程基本相识,根据实际应用的需要,发出读、写指令。SDRAM

可实现突发

15

式读写,支持的突发长度可配置为1个、2个、4个、8个数据周期或者页模式。并且突发的模式可以配置为顺序或者间隔型。对SDRAM 进行访问的最主要操作就是读RD 和写WR 操作。SDRAM 在进行读写操作时,必须要先进行页激活ACT 操作,以保证存储单元是打开的,以便从中读取地址或者写入地址,关闭存储单元通过预充电PCH 命令实现。在进行写操作时,内部的列地址和数据就会被寄存;进行读操作时,内部地址被寄存,等待CAS 延迟时间(通常为1~3个时钟周期)后,读出的数据出现在数据总线上,具体时序详见SDRAM 数据手册。

SDRAM 必须通过不断的刷新来保持数据,刷新可以分为自动刷新(Auto Refresh)和自刷新(Self Refresh)。自动刷新时,刷新所需的地址由SDRAM 内部的刷新控制器提供。自刷新主要用于在外部时钟失效时保存SDRAM 内的数据。自刷新被激活时,其它的任何控制都无效,一旦时钟恢复,自刷新就会退出,又必须开始自动刷新以保持数据。SDRAM 的操作主要通过控制信号RAS 、CAS 和WE 的不同状态组合来实现。

3.5.2 SDRAM 控制器结构

SDRAM 控制器针对SDRAM 的指令操作特点,为SDRAM 提供同步命令接口和时序逻辑控制,下面将以ALTERA 公司的Cyclone 系列FPGA 为例,主机系统时钟为133MHz ,使用三星公司的K4S641632E ,256MByte SDRAM ,介绍SDRAM 控制器的具体设计方法,下图3-5为SDRAM 控制器的系统结构图。

图3-6 SDRAM 控制器系统结构

SDRAM 控制器由3个子模块组成:控制接口,命令通道和数据通道。控制接口模块从主机接收命令和相关的存储地址,同时对命令解码后传送给命令模块,命令模

命令接口刷新控制CMD[2:0]CMDACK ADDR[11:0]接口控制模块REF_REQ REF_ACK 命令模块SADDR[11:0]Request

CMD_ACK

ADDR 仲裁器

命令生成器BA[1:0]CS[1:0]

CKE RAS CAS WE 命令接口DATAIN

DM DATAOUT DQ DQM

数据路径模块

OE

16

块从控制接口模块接收命令和地址,生成合适的命令给SDRAM 器件,数据通道处理读写命令时的数据通路操作。

1) 控制接口模块

接口控制模块主要实现的功能包括:通过命令输入端口CMD[2:0]和地址输入端口ADDR[11:0]从控制器顶层模块中接受命令和相对应的访问地址,并对CMD[2:0]进行译码,产生NOP 、WRITEA 、READA 、REFRESH 、PRECHARGE 命令,然后将解码后的命令传送到命令模块。下图3-7为接口模块的结构图。

图3-7 接口模块的结构图

2) 命令生成模块

图3-8 是命令生成模块,命令模块接收控制接口模块输出的已经解码的命令, 和周期性输出的刷新请求,并产生合适的命令给SDRAM 器件,模块含有一个简易的仲裁电路用于仲裁主机的命令和刷新控制逻辑所产生的刷新请求。从刷新控制逻辑电路发出的刷新请求比主机接口的命令的优先级别高。如果主机命令和隐含的刷新操作同时出现,仲裁电路在刷新操作完成之前就不发出CMDACK 应答。如果主机操作在进行中,收到了刷新命令,刷新操作将延时到主机操作完成后执行。

命令接口刷新控制CMD[2:0]CMDACK ADDR[11:0]接口控制模块Request CMD_ACK ADDR REF_REQ REF_ACK

17

图3-8 命令生成模块

3) 数据路径模块

数据路径模块主要是在writea 和reada 命令期间处理数据的路径操作。模块的结构图如图3-9 所示。DQ 是双向数据线,用来传输从SDRAM 读出数据和向SDRAM 写入的数据。无论是数据在读出还是写入时,都是在OE 有效,即OE 为高电平时才能进行传输。DM 是由主机输出的数据掩码,通过DQM 输出到SDRAM 的LDQM 和UDQM 管脚,进而控制SDRAM I/O 缓冲的低字节和高字节。

图3-9数据路径模块

Request BA[1:0]CS[1:0]SDDR[11:0]CMD_ACK ADDR 仲裁器命令生成器CKE RAS CAS WE OE

REF_REQ REF_ACK 命令接口DATAIN DM DATAOUT

DQ DQM

数据路径模块OE

4 各芯片间的数据传输与处理

随着芯片技术的不断提高,芯片的处理速度越来越快,总线的吞吐量越来越大,几年前的芯片、传输方式和接口协议很难满足新一代数据采集系统的要求。数据采集卡作为电子行业中最基础的部分,它更新换代的速度也紧跟芯片更新的步伐,新高速器件的使用也给数据采集系统设计带来了很多挑战。

在高速数据采集系统中,芯片决定了系统的最大指标,但不是每个系统都可以将硬件性能和特点发挥出来,必须根据系统中各级芯片的特点来对系统各级的速率进行划分,各级之间采用不同的处理才能使芯片的作用最大可能的发挥。本设计中设计到的器件主要有A/D转换器、D/A转换器、FPGA、SDRAM存储器和后端的ARM处理器,它们的处理速度都不一样,各芯片间数据传输的效率就成了设计中的关键部分。

4.1 采集卡各芯片速度等级的划分和数据流向

FPGA是当前运用最广泛的嵌入式处理器,由于结构上的差别它们被使用在不同的场合。FPGA它的结构不同于一般的CPU,它是由基础的门级电路和存储器组成的,所有的内部功能都是可以编程控制的,于是它有了其他CPU所不具备的灵活性,我们可以在FPGA中构建我们自己的硬件模块,可以按照系统的特点来分配FPGA资源。

按照整个系统各部分的速度,我们将系统简单的划分为两个速度等级,不管是程序设计还是PCB设计都要充分的考虑到各个速度等级的特点,才能设计出合理可靠的系统,具体划分情况如下图4-1所示:

中速

SDRAM

高速

AD FPGA

DA

图4-1 系统速度等级划分图

为了提高采集、存储和显示的效率,根据各个芯片的特点,运用了在FPGA中构建一个多缓冲单元的乒乓机制采集模块,提高FPGA采集的效率,并降低了中间级传输的速度,利于中间级的数据处理的处理方式来提高效率。

18

19

4.2 数据的模拟输出

4.2.1 模拟输出电路设计

模拟输出部分是由AD9707、低电压异或门76VX86和运放ADA4899组成。测试时可以通过跳线J1选择AD9707的工作模式,J1选择高电平时为PIN 模式,低电平时为SPI 模式,出现高电平脉冲时复位内部寄存器。电路图中AD9707的输入时钟选择差分时钟, AD9707输出选择单端输出,正向输出端IOUTA 与ADA4899的反相输入端相连,反向输出端IOUTB 接地,内部基准电压源REFIO 与ADA4899的同相输入端相连。运算放大器ADA4899将AD9707单端输出的电流变化转换为电压变化,外部使用内部基准电压源时REFIO 引脚需用一个0.1μF 电容接地。基本的硬件电路图如下图3-3所示:

图4-2 AD9707基本外围电路

4.2.2 AD9707内部寄存器配置

在AD9707测试之前必须按照外围电路的设计配置好AD9707工作的状态。AD9707有两种配置模式可供选择:PIN 模式和SPI 模式。设备可以通过PIN 模式进行简单配置,也可以通过SPI 模式进行高级配置。当用户使用自校准等高级功能时,PIN 模式就无能为力了,必须使用SPI 模式配置AD9707内部寄存器。

SPI (Serial Peripheral interface )串行外围设备接口,主要应用在 EEPROM ,FLASH , AD/DA 转换器。SPI

是一种高速的,全双工,同步的通信总线,并且在芯片

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

高速数据采集系统设计

高速数据采集系统 设计

基于FPGA和SoC单片机的 高速数据采集系统设计 一.选题背景及意义 随着信息技术的飞速发展,各种数据的实时采集和处理在现代工业控制和科学研究中已成为必不可少的部分。高速数据采集系统在自动测试、生产控制、通信、信号处理等领域占有极其重要的地位。随着SoC单片机的快速发展,现在已经能够将采集多路模拟信号的A/D转换子系统和CPU核集成在一片芯片上,使整个数据采集系统几乎能够单芯片实现,从而使数据采集系统体积小,性价比高。FPGA为实现高速数据采集提供了一种理想的实现途径。利用FPGA高速性能和本身集成的几万个逻辑门和嵌入式存储器块,把数据采集系统中的数据缓存和控制电路全部集成在一片FPGA芯片中,大大减小了系统体积,提高了灵活性。FPGA 还具有系统编程功能以及功能强大的EDA软件支持,使得系统具有升级容易、开发周期短等优点。 二.设计要求 设计一高速数据采集系统,系统框图如图1-1所示。输入模拟信号为频率200KHz、Vpp=0.5V的正弦信号。采样频率设定为25MHz。经过按键启动一次数据采集,每次连续采集128点数据,单片机读取128点数据后在LCD模块上回放显示信号波形。

图1-1 高速数据采集原理框图 三.整体方案设计 高速数据采集系统采用如图3-1的设计方案。高速数据采集系统由单片机最小系统、FPGA最小系统和模拟量输入通道三部分组成。输入正弦信号经过调理电路后送高速A/D转换器,高速A/D 转换器以25MHz的频率采样模拟信号,输出的数字量依次存入FPGA内部的FIFO存储器中,并将128字节数据在LCD模块回放显示。 图3-1 高速数据采集系统设计方案 四.硬件电路设计 1.模拟量输入通道的设计 模拟量输入通道由高速A/D转换器和信号调理电路组成。信号调理电路将模拟信号放大、滤波、直流电平位移,以满足A/D转换器对模拟输入信号的要求。

USB接口的高速数据采集卡的设计与实现

摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。 关键词:USB A/D FIFO 固件 现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI 卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。 1 USB数据采集卡原理 1.1 USB简介 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速 12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片 TUSB3210,开发了具有USB接口的高速数据采集卡。 1.2 系统原理图

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

高速以太网通讯数据采集卡使用说明

16 位 64 通道 500KSPS 光隔 AD 16 通道光隔数字入/16 通道光隔数字出 T9255 使用说明书 一、性能特点: 本板采用有线 10M/100M 以太网口的数据采集器。 本采集卡提供基于 DLL 的编程技术,用户不需要网络知识就可以实现网络采集与控制功能。 本板通过采用高速高精度 AD 芯片、高精度的放大器、高密度 FPGA 逻辑芯片、精细地布线以及优良的制版工艺,实现了高速、高精度实时数据采集,具有以下性能特点: 1、2、 3、 4、5、6、64 通道模拟量高速采集。可以设置 1-64 通道采集,起始通道号可以自由设定。 AD 幅值采集高精度:16 位采集精度,长时间采集时,误差跳码为±2LSB,相对精度优于 0.001%,直流电压波动小于 0.1 毫伏。 软件校准:将校准信息存储在板卡上,用户不用打开仪器设备就可以进行校 准,使用方便,一般情况下不需要用户进行任何校准。 丰富的备用扩展资源:板上 CPLD 资源非常丰富,可以为用户的特殊需求进行定制,如旋转编码器接口、脉冲周期测量接口、PWM 输出接口、外同步接口、触发记录接口、开关量控制接口等(定制)。 提供外部时钟模式:在该模式下,外部时钟信号启动所有通道采集一次,从而 实现多通道与外时钟同步采集模式(定制)。 提供外部触发启动模式:在该模式下,只有当外部给出上升延触发信号后才开 始采集,从而实现用户外触发采集模式的需要(定制)。

二、功能与指标 AD 的性能指标: AD 采样精度:16 位 AD 通道数:单端方式 64 通道。 AD 采集的综合跳码误差为±2LSB。 模拟采集的定时精度:缺省情况下为 50PPM,特殊要求可以定制 AD 输入电压范围:-5V 到+5V、0-10V 可选,或根据用户需要定制量程。 AD 输入阻抗:100 千欧 模拟输入安全电压:±15 伏。当超过 AD 输入量程时,只要不超过安全电压就不 会损坏硬件。建议用户尽可能使输入信号在量程范围内。 抗静电电压:2000 伏 采集方式:连续采集 模拟量安全电压:当输入电压超过±20V 时,有可能造成硬件损坏,由此造成的损 失不在保修范围内。 接口: 总线方式:10M/100M 以太网 开关量指标: 16 路数字量输入,独立光电隔离模式,TTL 电平方式,高电平输入为 高于 2.4V,低电平低于 0.8V,限流电阻 1k 欧姆。 开关量输入的电流,小于 1uA 16 路数字量输出,上电复位清零功能,高电平输出大于 2.4V,低电平 输出低于 0.2V 开关量输出的电流大于 5mA,小于 10mA。 电源: 外部电源输入 10-30V DC,电源电流 200mA。 尺寸: 电路板尺寸:150mm*100mm 电路板定位孔:140*90——Φ3.5mm 工作环境 工作温度:0-70℃ 环境湿度:90%以内

基于DSP和PCI总线的同步数据采集卡设计

基于!"#和#$%总线的同步数据采集卡设计 王宏,许飞云,贾民平 (东南大学设备监控与故障诊断研究所,江苏南京&’(()*) 摘要:介绍了一种在大型设备状态监测和故障诊断系统中作为核心的同步数据采集卡的设 计方法。该采集卡使用+%公司的+,"-&(.$/0’(1!"#做数字信号处理器,对数据采集过 程进行控制,并进行数字信号处理。应用#$%&(0(实现+,"-&(.$/0’(1!"#到#$%总线间 可靠连接,从而保证了采集数据快速、高效地传输到#$机。采集卡集同步数据采集、信号处 理及高速数据传输于一体。在状态监测和故障诊断系统中应用时,能很好的满足数据采集、处 理和传输的需要。 关键词:!"#;#$%总线;#$%&(0(;同步数据采集 中图分类号:+#-)’233文献标识码:1文章编号:’*3’4/&3*(&((3)(’4(()/4(0 !"#$%&’()*&+,-’&’.#!/0/1+2.$#$0$’&3/-45/#"4’&!)6/&46375.# 516789:;,<=>?@4A B:,C%1,@:4D@:; (E?F?G H I J$?:K?H9L$9:M@K@9:,9:@K9H@:;G:M>G B N K!@G;:9F@F, "9B K J?G F K=:@O?H F@K A,6G:P@:;&’(()*,$J@:G) 18#0-/+0:1M?F@;:9L F A:I J H9:9B F M G K G G I Q B@F@K@9:I G H M@F B F?M G F I9H?@:N G H;?4F I G N??Q B@D R?:K’F I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F2+,"-&(.$/0’(1!"#9L+%I9R D G:A@F B F?M G F M@;@K G N F@;:G N D H9I?F F9H9:K J?I G H M K9I9:K H9N K J?D H9I?F F9L M G K G G I4 Q B@F@K@9:G:M K9D H9I?F F K J?G I Q B@H?M M G K G2#$%&(0(@F G D D N@?M K9D H9O@M?G K H@?M I9::?I K@9:S?K T??:+,"-&(.$/0’(1!"#G:M #$%S B F K9;B G H G:K??K J?G I Q B@H?M M G K G K H G:F L?H H?M K9#$@:J@;J F D??M G:M J@;J?L L@I@?:I A2+J?I G H M I9R S@:?F F A:I J H9:9B F M G K G G I Q B@F@K@9:,F@;:G N D H9I?F F G:M J@;J U F D??M M G K G K H G:F@K@9:@:9:?25J?:B F?M@:I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F F A F4 K?R F,K J?I G H M I G:T?N N R??K K J?:??M F9L G I Q B@F@K@9:,D H9I?F F G:M K H G:F R@F F@9:9L M G K G2 9"*:’-4#:!"#;#$%S B F;#$%&(0(;F A:I J H9:9B F M G K G G I Q B@F@K@9: ;引言 随着现代化工业生产日益系统化、高速化和自动化的发展,现代工业生产已逐渐形成一个具有整体性的生产链,一旦某一设备发生故障,将会引起整个生产过程不能正常运行,从而造成巨大的经济损失,严重时将造成灾难性的设备损坏及人员伤亡。近年来,国内外的设备事故时有发生。因此,人们对设备的可靠性和安全性提出了越来越高的要求,设备的故障监测与诊断技术受到了人们的高度重视,并已发展成为一门综合性的交叉学科,亦取得了显著的经济效益和社会效益[’]。 设备的故障监测与诊断技术多是基于#$机的测试系统,首先要进行数据采集,然后才能对获得的数据进行测试分析。所以数据采集卡是设备的故障监测与诊断的基础。 文中主要阐述了基于!"#的#$%总线同步数据采集卡的硬件设计,使用美国+%公司的+,"-&(.$/0’(1 !"#作为采集卡的处理器,使用高速的#$%总线与#$机连接,实现数据的采集和快速传送。该卡主要用于大型设备监测和故障诊断系统中完成数据采集和预处理功能,实现对被监测系统的实时监测。 <硬件设计 <2<采集卡总体结构 在大型设备的状态监测和故障诊断中,振动信号能最迅速最直接地反映机械设备的运行状态,3(V以上的运行故障都以振动形式表现出来。由于振动信号在工频及其各倍频处的能量分布直接反映了设备运行状态,因此需要在数倍于工频的范围内分析振动频谱,作为振动信号的状态监测系统要求也就比较高[-],表现为:采样频率高、信号处理量大、数据传输量也很大。而使用!"#和#$%总线相结合设计的同步采集卡却能满足这一需求。#$%总线数据采集卡系统的原理框图如图’所示。 由图’可以看出,’*通道模拟信号同步采集模块对由抗混滤波板输入的模拟信号进行缓冲处理输入后续的0片0通道同步采集芯片1!3W*/,该0片1!3W*/芯片由同一个采样脉冲控制采样及1/!转换,实现’*通道信号的同步采集。所有1!3W*/芯片的转换结果均通过板内部的!"#总线供+,"-&(.$/0’(1!"#芯片读取,该同步采集模块可根据测量的转速实现’*通道模拟信号同步整周期采集,采集频率每通道可高达3/X8Y。 此外,该信号同步采集模块具有内触发与外触发采样功能,其外触发采样功能可以保证多块’*通道信号同步采集模块同时使用,实现更多通道(如-&、0W通道等)的同步采样。 +,"-&(.$/0’(1!"#芯片为’*通道信号同步采集板的核心,它一方面控制各种信号的采集及保存,另一方面负责信号的分析与处理,并提取设备故障的特征信号通过其8#%接口供计算机获取用于故障诊断。各相关单元如1/!转换芯片、0Z-&[字数据E1,、’&W[字程序/数 !"#$%&’()%*+%&,-.)/01"/%0&,2’34556,78(9)::;!:

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

高速数据采集卡250MSPS

高速数据采集卡250MSPS 14bit 250MSPS 14bit 8通道高速数据采集卡主要应用于雷达、通信、电子对抗、高能物理、质谱分析、超声等高科技领域。西安慕雷电子在高速数据采集卡研发及系统应用领域拥有十多年经验,2013年底发布了250MSPS 14bit 8通道高速数据采集卡MR-HA-250M,采集记录存储带宽高达3000MB/S。高速数据采集卡MR-HA-250M及记录存储系统的成功发布使得西安慕雷电子在高速数据采集卡及相关记录存储回放领域为国防及科研领域又提供了一套高性能解决方案。 图一高速数据采集卡MR-HA-250M 高速数据采集卡MR-HA-250M模块参数: ●输入接口: 连接器:SSMC; 输入方式:AC或DC耦合; 通道数量:8通道,可同步32通道 ●AFE模块: 高速数据采集卡中的信号调理模块一般采用衰减、滤波及程控增益放大器等对信号进行处理,高速数据采集卡MR-HA-250M采用信号直通AD模式,减少前端调理对高速数据采集卡动态性能影响。 图二高速数据采集卡MR-HA-250M

●ADC模块: 高速数据采集卡的ADC芯片采用Linear Tech LTC2157-14 (250 MSPS) 图三高速数据采集卡MR-HA-250M动态性能 ●时钟管理模块: 高速数据采集卡MR-HA-250M可选择外时钟、内时钟或参考时钟 ●FPGA模块: XILINX或ALTERA的FPGA芯片广泛用于高速数据采集卡中。FPGA模块开放编程是高速数据采集卡的必备能力。高速数据采集卡MR-HA-250M采用XILINX V6系列高性能FPGA。 ●DDR模块: 高速数据采集卡一般都会配有DDR缓存,存储采集过程中的数据。高速数据采集卡MR-HA-250M配置有4GB DDR2。 ●FIFO模式 高速数据采集卡将板载内存虚拟为FIFO,允许采集数据由缓冲后连续不断地通过总线传输到主机内存或硬盘中。该模式特点就是高速、大容量,使得高速数据采集卡记录时间达数小时。记录时间取决于存储介质的容量。 图四高速数据采集卡MR-HA-250M

等间距采样的高速数据采集系统设计

等间距采样的高速数据采集系统设计 郝亮,孟立凡,刘灿,高建中 (中北大学仪器科学与动态测试教育部重点实验室,太原030051) 摘要:简单介绍通过对窄脉冲等间距采样来测试电缆故障的基本原理,分析其脉冲的特点和处理要求;采用F PGA和MSP430F149作为主控芯片,设计了单路多次低速数据采集系统;利用Quartus II软件编写主控程序,并在Modelsim下进行仿真验证。实验结果表明,该系统方案切实可行,可有效解决电缆故障测距过程中的高精度数据采集问题。 关键词:等间距采样;数据采集;MSP430F149;F PGA 中图分类号:TN98文献标识码:B H igh2spe ed Data Acquisition System Based on Equidistance Sampling Hao Liang,Meng Lifan,Liu Can,Gao Jianzhong (Inst ruments Science and Dynamic Measurement Ministry of Education Key Laboratory, North University of China,T aiyuan030051,China) A bstract:T he basic principle of testing cable faults wit h narrow2pulse equidistance sampling is described.Pulse characteristics and pro2 cessing requirements are analyzed.The single2line repeated low2speed dat a acquisition system is designed with FPGA and MSP430F149 as main control chips.Main control procedures are programmed in Quartus II and simulated in Modelsim.Experimental result shows that t he system is practical,and the problem of high2precision data acquisition in the process of cable fault location is resolved effectively. K ey words:equidist ance sampling;data acquisit ion;MSP430F149;FPGA 引言 电缆故障是通信行业中的常见故障,而电缆测距是排除故障的前提条件。准确的电缆测距可以缩短发现故障点的时间,利于快速排除故障,减少损失。窄脉冲时域反射仪利用时域反射技术来测定电缆断点位置,可以同时检测出同轴传输系统中多个不连续点的位置、性质和大小。窄脉冲信号持续的时间非常短暂,为了能够有效地捕捉到窄脉冲信号,对A/D采样率和处理器速率提出了较高的要求,传统的数据采集已经不能满足系统设计需求。本文介绍的单路多次低速数据采集方案硬件结构简单,成本低,能够满足系统设计要求。 1系统设计理论依据 根据电磁波理论,电缆即传输线。假若在电缆的一端发送一探测脉冲,它就会沿着电缆进行传输,当电缆线路发生障碍时会造成阻抗不匹配,电磁波会在障碍点产生反射。在发射端,由测量仪器将发送脉冲和反射脉冲波形记录下来。实际测试中,具体障碍的波形有所差异:断线(开路)障碍时,反射脉冲与发射脉冲极性相同;而短路、混线障碍时,反射脉冲与发射脉冲极性相反。波形如图1所示。 图1发射脉冲与反射脉冲波形 设从发射窄脉冲开始到接收到反射脉冲波的时间为$t,则: l=v#$t 2 其中,v为脉冲波在电缆中的传输速度;l为电缆故障点与脉冲波送入端的距离。 由以上分析可知,在同一个固定障碍的线路上多次送入同一脉冲电压,其反射脉冲将同样地在同一位置多次出现。 要实现对反射窄脉冲的捕获和1m的测距分辨率(在波速为200m/L s的情况下),则$t= 2l v =2@1 200 =0.01L s =10ns。即要求抽样的时间分辨率为10ns,对应的数据采集系统频率高达100MHz。同时,最大测量范围是2km 时,要求发射脉冲的重复周期T= 2l v =2@2000 200 =20L s。

1仪器的工作原理及系统构成-高速数据采集卡

1 仪器的工作原理及系统构成 虚拟示波器是由信号调理器,PCI总线的数据采集卡组成的外部采集系统加上软件构成的分析处理系统组成。被测信号送到信号调理电路,进行隔离、放大、滤波整流后送数据采集卡进行A/D转换,最后由控制软件对测试信号进行数据处理,完成波形显示,参数测量、频谱分析等功能。系统结构如图1显示 图1 系统结构图 2 系统的设计及功能实现 2.1硬件部分 硬件部分主要包括传感器、信号调理电路及数据采集卡。 理电路针对不同的测试对象有不同的选择和设计。数据采集是硬件部分的核心, 它的性能直接影响数据采集的速度和精度。另外,LabVIEW可对NI公司的数据 采集卡进行驱动和配置,可充分利用采集卡的性能。基于此,我选择的数据采集 卡是NI公司生产的。下面主要介绍数据采集卡的性能和安装配置。 2.1.1 PCI—6010数据采集卡的简介 PCI—6010采集卡是基于32位PCI总线的多通道的数据采集设备,具有数 字输入/输出、模拟输入/输出和计数器等功能。它通过SH37F—37M电缆与CB —37F—LF 输入输出接口面板连接,该接口面板具有37个螺旋状的接口终端。 同时此数据采集卡具有3个完全独立的DMA控制(模拟输入、定时/计数器0、 定时/计数器1)。本卡还具有刻度校准电路系统。由于运行时,时间和温度漂移 会引起一定的模拟输入、输出误差,为了使此误差最小,可以调整设备的校准刻 度。而它的出厂校准信息存储在EEPROM中,不能修改。而修改此信息必须通 过软件来实现。

该数据采集卡具有8个差动模拟输入通道(即16个对地单信号模拟输入通道),电压范围为±5V, ±1V,±0.2V;2个模拟输出通道,电压范围为±5V。同时它还具有6个数字输入通道,4个数字输出通道。数字输入的VIH(Input high voltag e)的最小值是2.0 V, 最大值是5.25 V,VIL(Input low voltage)的最大值是0. 8 V, 最小值是–0.3 V;数字输出的IOH(Output high current)的最大值是–6 mA ,IOL (Output low current) 的最大值是2 mA。信号通道的最大采样速率是200 kS/s (single channel) ,扫描时最大采样速率是33.3 kS/s (scanning)。 2.1.2 PCI—6010数据采集卡的安装 将NI PCI—6010数据采集卡插到计算机主板的一个插槽中,接好附件。附件包括一个型号为CB—37F—LF的转接板,和一条SH37F—37M电缆。转接板直接与外部信号连接。在完成了NI PCI—6010数据采集卡的硬件连接后,就需要 安装该卡的驱动程序。安装步骤如下: (1)运行程序→National Instrument DAQ→NI-DAQ Setup。在出现对话框中 单击NEXT按钮。 (2)在出现的Seletct DAQ Devices对话框中选中NI PCI—6010,单击NEXT 按钮。 (3)在后续出现的全部对话框中单击NEXT按钮,即可完成NI PCI—6010数 据采集卡的安装。 (4)重新启动计算机。完成数据采集卡的安装。 2.1.3 PCI—6010数据采集卡的配置 在安装好数据采集卡后就要对其进行系统配置。点击图标Measurement & Automation Explorer,在弹出的Devices and Interface 中进行I/O配置。 (1) 这支采集卡在系统的设备的编号:将参数Device值设为1; (2) 设置模拟输入AI的属性:将Polarity 值设为-5V~+5V,将Mode属性设 置为Differentioal(差动); (3) 设置模拟输出AO的属性:在AO窗口中,将属性设为Bipolar(双极性)。 在完成上述设定之后,单击“确定”按钮。在Systerm窗口中有“Test Resources”按钮,可检验设备是否正确配置。通过后再进行简单的通道配置,即可完成数据采集卡的全部设置。

相关文档
最新文档