总线控制器BC远程终端

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
信号监视与指示灯预留接口
并 串 转 换
左图为已完 成的设计图 部分
可以正确的 完成编码 解 码 监视器 指示 灯(子系统的 挂起)接口已 预留 并调试 可以反映系 统状况
通 信 接 口 管 理
R A M
BC/RT 编码 模块
编码器
时钟 管理 串 并 转 换 解码器
BC/RT 译码管理模块
RAM
信号监视与指示灯预留接口
曼码数据编码控制器主要负责将来 自CPU或子系统(RT)的数据及控 制信息进行分析处理,同时进行暂 时的储存。当分析完毕后将分析的 结果中参加编码的部分发送给编码 器,进行编码。其余的部分进行公 示,以达到总线监视器(BM)的 功能要求
2.3
曼码解码实现
利用函数实现 部分代码如下 architecture behave of test5 is function decode_n( I: std_logic_vector (1 downto 0) ) return std_logic is t es t 5 variable S: std_logic; begin din d_out [ 15. . 0] if I="01" then c lk odderr S:='1'; rs t rw_c s w elsif I="10" then S:='0'; csw rw_dw else S:='Z'; end if; ins t 1 return S; end decode_n;
同步字若用曼码扫描频率可等效为”111000”或”000111”根据曼 码的编码要求 同步字为无效字段既可以实现同步功能
2.2
曼码的编码实现
test8
利用函数进行编码 部分代码如下 function code_n(I: std_logic ) return std_logic_vector is variable S: std_logic_vector(1 downto 0); begin if I='1' then S:="01"; elsif I='0' then S:="10"; else S:="ZZ"; end if; return S;
1.2
1553B的协议内容及其字格式
1553B有三种字格式分别为: 命令字,数据字,状态字.其结构 如左图
同步头为三位,命令字与状态 字(先正后负)与数据字(先负 后正)不同
由于1553B在通信时使用的 是曼码编码故20位字格式也 可以视为40位
1.3
1553B的消息格式
1.4 1553B协议总线控制器和远程终端 与总线监视器的FPGA实现
2.3.1 曼彻斯特码解码器设计结构图
曼码输入 时钟输入
同步字头 状态检验 数据采集 与解码 采样计数
奇偶效验 数据寄存
数据 准备 完毕
曼 码 解 码 控 制 模 块
2.3.2解码器仿真波形
图中,clk为输入的采集时间频率,din为输入的串行数据,d_out为数据的解码 输出。odderr为奇偶校验位判别。图中输入的串行序列为 “1110001010100101011010101001010110100110”此序列包括起始的同步字头 “111000”与末尾的奇偶校验位“10”。经过曼彻斯特解码器将中间的数据解码后为 “1110001111000110”,与图中d_out输出(逆序)相符。奇偶校验位发送正确。
基于MIL-STD-1553B协议的
航空总线设计
AVIATION BUS DESIGN
主讲人:李继峰
第一章 MIL-STD-1553B的简单概述
1.1 1553B的历史背景与简单概述
1.2 1553B的协议内容及其字格式
1.3 1553B的消息格式
1.4 1553B协议总线控制器和远程终端与总线监
2.5 曼彻斯特码编码控制器
2.5.1 曼码编码控制器结构图 从cpu 采集数 据字 从cpu 采集控 制命令 位
RAM储存
数据处理
将经过分析 和处理后的 数据发送给 编码器进行 编码通讯
经处理分析后的信息位引出引脚(BM观察用)
test6 data_in[15..0] rw_csw p1 p2 rdata[15..0]
第二章 关于1553B总线曼码通讯的设 计与FPGA的实现
2.1 1553B曼码通讯的概述
2.2 曼码的编码实现 2.3 曼码的解码实现 2.4 曼码的编码控制器 2.5 曼码的解码控制器
2.1
1553B曼码通讯概述
1553B协议要求利用曼彻斯特码传输
消息位’1’要编码为”10”
消息位’0’要编码为”01” 曼码的时钟扫描频率为字扫描频率的两倍
视器的FPGA实现
1.1
1553B历史背景与概述
一条总线上挂有1个BC,1个MT和至多31个 RT.总线传输的消息格式有BC到RT,RT到 BC,RT到RT,广播以及方式代码.
1553B总线协议是由美国军 方在20世纪70年代制定的, 在航空、航天、军事等领域应 用广泛.协议采用双向曼彻斯 特码,数据在总线上以串行脉 冲形式传输,传输速率为 1Mb/s. 协议规定的信息字类型有3种, 分别是命令字、数据字和状态 字. 总线上连接的节点类型有3类: 总线控制器(BC)、远程终端 (RT)和总线监视器(MT)¨
clk rst d_in[15..0] csw
d_out[39.Байду номын сангаас0] en
inst
2.2.1 曼彻斯特码编码器设计结构图
数据类 型输入 16位并 行数据输 入
同步字 生成 串并 转换
数据寄存
曼码生成
奇偶效验 位生成
2.2.2编码器仿真波形
clk 为时钟脉冲,csw 由编码控制模块控制。为输入的同步字头控制信号。d_in、 d_out分别为并行的数据输入及编码后的数据输出。Rst为复位引脚。en为使能引脚。上图 中,d_in输入的数据信号为“0101000111000111”(逆序),经过编码器后,当为命令 同步字头时(csw为‘1’)的编码序列为 “1110000110011001010110101001010110101001”最后两位为奇偶检验位的‘0’ 的编码“01”,同步字头为“111000”同样的,当为数据同步字头时(csw为‘0’)的 编码显示仅仅与前面的编码序列的同步字头不同,为“000111”其他均相同。由此可证明 该编码正确。
相关文档
最新文档