第3章 现代数字电子技术-原理图输入方法

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

输入引脚: INPUT
输出引脚: OUTPUT
将所需元件全部调入原理图编辑窗
将他们连接 成半加器
连接好的原理图
首先点 击这里
文件名取为: h_adder.gdf
注意,要存在 自己建立的
文件夹中
连接好原理图并存盘
步骤3:将设计项目设置成工程文件(PROJECT)
最后注意此路 径指向的改变
首先点 击这里
(2)锁定引脚
选择EDA实验/开发设备GW48的实验结 构图NO.6;
用“键7”和“键8”分别作为A、B输入按键,
接PIO12和PIO13; 用“D8”和“D7”作为设计电路的进位CO 与和SO输出显示 接PIO23和PIO22 。
2.1.6 设计电路硬件调试
按实验板上的“模式选择”键,选择模式 NO.6,执行向EPF10K10编程下载配置后,按 动GW48实验板上的高低电平输入键“键7”和 “键8”,得到A、B不同的输入组合;观察“D8” 和“D7”发光二极管显示的结果是否正确。



键8的引脚名
选择实验板上 插有的目标器件




键8的引脚名 对应的引脚号







引脚对应情况
实验板位置 引脚号
1、键8: 2、键7 3、发光管8 4、发光管7
半加器信号 通用目标器件引脚名
a
PIO13
b
PIO12
co
PIO23
so
PIO22
EP1K30TC144
27 26 39 38
完成选择目标芯片、引脚锁定再编译后再进行的 仿真称为时序仿真,此时的仿真是针对具体的目标芯 片进行的。
(1)选择目标芯片
执行“Assign”的“Device…”命令,选择下载芯片 型号。在“Device Family”中选择“FLE10K”,在 Device列表中选择“EPF10KLC84-4”芯片型号。
选择此项下 载方式
(3)设置编程下载方式
步骤7:编程下载
(1) 下载方式设定。
下载(配置) 成功!
(4) 向EF1K30下载配置文件
图4-18 设置编程下载方式
选择电路 模式为“6”
模式选择键
进位“co”为‘1’ 和“so”为‘0’
若键8、7 为高电平
步骤8: 包装元件入库
选择菜单“File”→“Open”,在“Open”对话 框中选择原理图编辑文件选项“Graphic Editor Files”,然后选择h_adder.gdf,重新打开半加器 设计文件,然后选择 “File”菜单的“Create Default Symbol”项,将当前文件变成了一个包装 好的单一元件(Symbol),并被放置在工程路径指定 的目录中以备后用。
使用原理图输入 方法设计,必须 选择打开原理图
编辑器
进入MAX+plusII,建立一个新的设计文件
首先在这里用鼠标 右键产生此窗,并 选择“Enter Symbol”
输入一个元件
也可在这里输 入元件名,如
2输入与门 AND2,输出
然后用鼠标 双击这基本
硬件库
这是基本硬件 库中的各种 逻辑元件
元件输入对话框
(5) 对应f_adder.gdf的波形仿真文件,参考图中输入信号cin、bin和ain输 入信号电平的设置,启动仿真器Simulator,观察输出波形的情况。
1位全加器的时序仿真波形
(6) 锁定引脚、编译并编程下载,硬件实测此全加器的逻辑功能。
设计流程归纳
MAX+plusII一般设计流程
2位十进制数字频率计设计
1. MAX+plusⅡ的安装方法
1. 1 安装
运行MAX+plus II目录中的Baseline\setup.exe以 完成安装;
1.2 遵守协议
安装成功后第一次运行MAX+plus II时会弹出 一个对话框提示遵守协议需要用鼠标将下拉条拉 到最后OK按钮才会点亮,然后选择OK按钮即可, 另外一种解决方法是按两次TAB键后OK按钮即 可点亮。
用此键选择左窗 中需要的信号 进入右窗
(3)列出并选择需要观察的信号节点
消去这里的勾, 以便方便设置 输入电平
在Options菜单中消去网格对齐Snap to Grid的选择(消去对勾)
图4-9 列出并选择需要观察的信号节点
(4) 设置波形参量
选择END TIME 调整仿真时间
区域。
(5)设定仿真时间
(2)进入元器件选择窗
由此输入所需要的元件名 用户自己设置的元件库 基本逻辑元件库 老式宏函数元件库
参数可设置的强函数元件库 基本逻辑元件库中的元件
(3)图形编辑界面上构建原理图
半加器(h_adder.gdf)设计项目示意图
2.1.2 编译设计图形文件
设计好的图形文件一定要通过MAX+plusII的编译。 在MAX+plusⅡ集成环境下,执行“MAX+plus”菜单 下的“Compiler”命令,在弹出的编译对话框按 “START”键,即可对h_adder.gdf文件进行编译。
MAX+plusⅡ软件授权操作提示对话框
2. MAX+plusⅡ原理图输入法
用MAX+plus II的原理图输入设计法进行数字 系统设计时,不需要任何硬件描述语言知识,在 掌握了数字逻辑电路的基本知识后,即可使用 MAX+plus II提供EDA平台,设计数字电路。
为了方便电路设计,设计者首先应当在计算机 中建立自己的工程目录。例如,将自己的全部 EDA设计文件放在d:\myeda文件夹中,而为图形 编辑设计建立d:\myeda\mygdf文件夹,为VHDL 文本编辑设计建立d:\myeda\myvhdl等。
出来
选择最后实现本项设计的目标器件
选择编译器
编译窗
对工程文件进行编译、综合和适配等操作
完成编译!
步骤5:时序仿真
首先选择此项, 为仿真测试新
建一个文件
选择波形 编辑器文件
(1) 建立波形文件
从SNF文件中 输入设计文件
的信号节点
SNF文件中 的信号节点
点击“LIST”
(2) 输入信号节点
最后点击“OK”
2.1.5 编程下载设计文件
上述的仿真仅是用来检查设计电路的逻辑功能是 否正确,与实际编程下载的目标芯片还没有联系。为 了获得与目标器件对应的、精确的时序仿真文件,在 对文件编译前必须选定设计项目的目标器件,在 Max+plusII环境中主要选Altera公司的FPGA或 CPLD。
编程下载包括选择目标芯片、引脚锁定、编译和 编程下载等操作。
选择时序分析器
输入输出 时间延迟
打开延时时序分析窗
(10) 为了精确测量半加器输入与输出波形间的延时量,可打开时序分析器
步骤6:引脚锁定
可选择发光管8 作为半加器的 进位输出“co”
选择实验电路结构图6
可选择发光管7 作为半加器的 和输出“so”
可选择键8作为半 加器的输入“a”
选择键7作为半加 器的输入“b”
1. 设计有时钟使能的两位十进制计数器
(1) 设计电路原理图。
用74390设计一个有时钟使能的两位十进制计数器
(2) 计数器电路实现
调出元件74390
从Help中了解74390的详细功能
(3) 波形仿真
两位十进制计数器工作波形
2. 频率计主结构电路设计
两位十进制频率计顶层设计原理图文件
两位十进制频率计测频仿真波形
仿真,也称为模拟(Simulation),是对电路设 计的一种间接的检测方法。对电路设计的逻辑行为 和功能进行模拟检测,可以获得许多设计错误及改 进方面的信息。对于大型系统的设计,能进行可靠、 快速、全面的仿真尤为重要。
仿真包括编辑波形文件、波形文件存盘和执行 仿真文件等操作。
h_adder的仿真结果
步骤9:设计顶层文件
(1) 仿照前面的“步骤2”,打开一个新的原理图编辑窗口
在顶层编辑窗中调出已设计好的半加器元件
(2) 完成全加器原理图设计,并以文件名f_adder.gdf存在同一目录中。
在顶层编辑窗中设计好全加器
(3) 将当前文件设置成Project,并选择目标器件为EPF10K10LC84-4。 (4) 编译此顶层文件f_adder.gdf,然后建立波形仿真文件。
2.1 MAX+plus Ⅱ原理图输入的基本操作
编辑原理图
引脚锁定
编译设计文件
时序仿真
功能仿真
编程下载
产生元件符号
硬件调试
2.1.1 编辑图形设计文件
(1)图形编辑界面
执行“File”“New”命令,弹出编辑文件类型对话框, 选择“Graphic Editor file”后按“OK”。
MAX+plusⅡ的图形编辑界面
3. FPGA/CPLD开发方法和步骤
3.1 原理图输入法的基本设计步骤
——以 1 位全加器的设计为例
步骤1:为本项工程设计建立文件夹
注意: 文件夹名不能用中文,且不可带空格。
为设计全加器 新建一个文 件夹作工作库
文件夹名取为 My_EDA
注意,不可 用中文!
步骤2:输入设计项目和存盘
新建一个设 计文件
第3章 现代数字电子技术
这意味着10年前曾经是优秀的电子设计工程师,如果 不随时代更新知识,10年后只能面临被淘汰的命运!
EDA开发工具及技术
本章首先介绍 MAX+plusⅡ EDA开发工具软件的安装和操作 指南,然后分别以原理图输入法和 文本输入法为例详细介绍 FPGA/CPLD的开发步骤和方法。
3. 测频时序控制电路设计
测频时序控制电路 测频时序控制电路工作波形
4. 频率计顶层电路设计
频率计顶层电路原理图(文件:ft_top.gdf)
频率计工作时序波形
实验 8位十进制频率计的设计
实验目的:熟悉原理图输入法中74系列等宏功能元件的 使用方法,掌握更复杂的原理图层次化设计技术和数 字系统设计方法,完成8位十进制频率计的设计。
然后选择此项, 将当前的原理图 设计文件设置成
工程
将当前设计文件设置成工程文件
注意,此路径指 向当前的工程!
步骤4:选择目标器件并编译
首先选择这里
器件系列选 择窗,选择 ACEX1K系列
根据实验板上的 目标器件型号选 择,如选EP1K30
注意,首先消去 这里的勾,以便 使所有速度级别 的器件都能显示
在编译中,MAX+plusII自动完成编译网表提取 (Compiler Netlist Extractor)、数据库建立 (Database Builder)、逻辑综合(Logic Synthesizer)、逻辑分割(Partitioner)、适配 (Fitter)、延时网表提取(Timing SNF Extractor) 和编程文件汇编(Assembler)等操作。
原理说明:利用第2节介绍的2位计数器模块连接它们的 计数进位,用4个计数模块就能完成一个8位有时钟使 能的计数器;对于测频控制器的控制信号,在仿真过 程中应该注意它们可能的毛刺现象。最后按照第2节 中的设计流程和方法即可完成全部设计。
实验 8位十进制频率计的设计
实验内容1:首先按照本章第2节介绍的方法与流程,完 成2位频率计的设计,包括原理图输入、编译、综合、 仿真等。
2.1.3 产生元件符号
在MAX+plusII集成环境下,执行“File”菜单 下的“Create Default Symbol”命令,将通过编译 的GDF文件产生一个元件符号,并保存在工程目录 中。元件符号可以被其他图形设计文件调用,实现 多层次的系统电路设计。
半加器元件符号
2.1.4 功能仿真设计文件
选择引脚 锁定选项
引脚窗
此处输入 信号名
此处输入 引脚名
注意引脚属性 错误引脚名将 无正确属性!
按键 “ADD”即可
步骤7:编程下载
再编译一次, 将引脚信息
进去
(1) 再编译1次将引脚信息编译进去
选择编程器, 准备将设计 好的半加器 文件下载到目 器件中去
编程窗
(2) 打开编程器窗口
在编程窗打开 的情况下选择 下载方式设置
实验内容2:建立一个新的原理图设计层次,在完成实 验内容1的基础上将其扩展为8位频率计,仿真测试该频 率计待测信号的最高频率。
选择60微秒 比较合适
(6) 加上输入信号
用此键改变仿真 区域坐标到合适
位置。
点击‘1’,使拖黑 的电平为高电平
为输入信号设定必要的 测试电平或数据
(7) 波形文件存盘 保存仿真波形文件
(8) 运行仿真器
选择仿真器
运行仿真器
运行仿真器
(9) 观察分析半加器仿真波形 半加器h_adder.gdf的仿真波形
1. MAX+plusⅡ的安装方法
1.3 授权(LICENSE)文件
复制CRACK名称为ALTERA.DAT的 LICENSE文件,到MAX+plus II的安装目录下 (如c:\maxplus2)。运行MAX+plus II,Leabharlann Baidu入 MAX+plus II集成环境,选择"Option""License Setup"菜单,弹出一个对话框。按"Browse"按钮, 此时选择前面复制时进入的授权文件即可。
相关文档
最新文档