2012年电子EDA考核试题1

合集下载

2011-2012电气自动化EDA试卷 答案

2011-2012电气自动化EDA试卷 答案

EDA应用技术期末考试卷一、单项选择题(2分×15=30分)1-5 ACBDB 6-10 DCCAA 11-15 ABAAA二、填空(0.5分×40=20分)1.传统的电子系统设计方案一般是选择具有(固定功能)的标准集成电路和分立元器件。

2.数字集成电路经历了(小规模)、(中规模)、(大规模)、(超大规模)集成电路等发展那阶段。

3.PLD按集成度来分,可分为(简单PLD)和(复杂PLD).4.CPLD/FPGA器件的选择需考虑(器件的逻辑规模)、(应用的速度要求)、(功耗)、(可靠性)、价格等。

5.VHDL的设计方法包括(直接设计法)、(自顶向下设计法)、(自底向上设计法)。

6.新器件的主要特点是向超高速、(高密度)、(低功耗)和低电压方向发展。

7.(实体)是VHDL程序描述的对象,是VHDL程序的基本单元。

8.二选一数据选择器的结构体可由(进程)和子程序等结构体中常见的几种基本结构实现。

9.PROCESS语句结构通常由三部分组成,分别是(进程说明部分)、(顺序描述语句部分)、(敏感信号参数表)。

10.函数定义应由(函数首)、(函数体)组成。

11.VHDL的文字规则包括(数字)、(字符串)、(标识符)(下标名)。

12.VHDL语言共有四类操作符可以分别进行(逻辑运算)、(关系运算)、(算术运算)、(并置运算)。

13.VHDL顺序语句包括(赋值语句)、(IF语句)、(CASA语句)、(WAIT语句)、(LOOP语句)、(NEXT语句)、EXIT语句、(RETURN语句)NULL语句。

14.用VHDL语言进行设计时,按描述语句的执行顺序可分为(顺序执行语句)、(并行执行语句)。

三、EDA 名词解释,写出下列缩写的中文含义:(1分×10=10分)1、EDA——电子设计自动化2、VHDL——超高速集成电路硬件描述语言3、FPGA——现场可编程门阵列4、CPLD——负杂可编程逻辑器件5、CAD——计算机辅助设计6、CAM——计算机辅助制造7、CAE——计算机辅助工程8、IEEE——美国电气与电子工程协会9、GAL——通用阵列逻辑10、CAT——计算机辅助测试四、VHDL程序填空(2分×5=10分)1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。

EDA试题答案

EDA试题答案

学习使人进步1.1.1(1)什么叫EDA(P1)?EDA技术是一种以计算机为工作平台,结合计算机图形学、拓扑逻辑学、计算方法学及人工智能等多项计算机应用科学的最新成果而开发出来的一套软件工具。

1.1.2(2)EDA技术在硬件硬件方面融合了哪些技术(P1)?大规模集成电路制造技术、IC版图设计技术、ASIC (专用集成电路)测试和封装技术、FPGA和CPLD编程下载技术、自动测试技术等1.1.3(3)EDA技术在计算机辅助工程方面融合了哪些技术(P1)?计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念。

1.1.4(4)EDA技术在现代电子学方面融合了哪些内容(P1)?如:电子线路设计理论、数字信号处理技术、嵌入式系统和计算机设计技术、数字系统建模和优化技术及微波技术等1.1.5(5)EDA技术在21世纪的突出表现有哪5个方面(P2)?●使电子设计产品以自主知识产权方式得以明确表达和确认成为可能。

●在仿真验证和设计两方面都支持标准硬件描述语言的功能强大的EDA软件不断推出。

●电子技术全方位进入EDA领域。

●EDA使得电子领域各学科的界限更加模糊,更加互为包容。

●不断推出更大规模的FPGA和CPLD。

●基于EDA工具的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块。

●软硬件IP核在电子领域得以进一步确认。

●SoC高效率低成本设计技术日益成熟。

●系统级、行为验证级硬件描述语言使复杂电子系统的设计特别是验证趋于高效和简单。

1.2.1(6)画图说明EDA技术实现目标是什么(P3)?1.3.1(7)目前常用的HDL主要有哪些?其中使用最多的是谁(P4)?VHDL;Verilog HDL;SystemVerilog ;System C 1.3.2(8)与Verilog相比VHDL有哪两方面优势?有哪三方面不足(P5)?优势:1.语法比Verilog更严谨,通过EDA工具自动语法检查,以排除许多设计中的疏忽;2.有很好的行为级描述能力和一定的系统级描述能力,而Verilog建模时,行为与系统级抽象及相关描述能力不及VHDL。

EDA期末考试试卷及答案

EDA期末考试试卷及答案

一、单项选择题(30 分,每题 2 分)1.以下对于适配描绘错误的选项是 BA.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最后的下载文件B.适配所选定的目标器件能够不属于原综合器指定的目标器件系列C.适配达成后能够利用适配所产生的仿真文件作精准的时序仿真D .往常, EDAL 软件中的综合器可由专业的第三方EDA 企业提供,而适配器则需由FPGA/CPLD 供给商供给2 .VHDL 语言是一种构造化设计语言;一个设计实体(电路模块)包括实体与构造体两部分,构造体描绘 D 。

A .器件外面特征B .器件的综合拘束C.器件外面特征与内部功能 D .器件的内部功能3 .以下表记符中, B 是不合法的表记符。

A .State0 B.9moon C. Not_Ack_0 D. signall4 .以下工具中属于 FPGA/CPLD 集成化开发工具的是 DA .ModelSimB .Synplify ProC. MATLAB D . QuartusII5.进度中的变量赋值语句,其变量更新是A。

A .立刻达成B .按次序达成C.在进度的最后达成 D .都不对6.以下对于CASE语句描绘中错误的选项是 AA .CASE 语句履行中能够不用选中所列条件名的一条B .除非全部条件句的选择值能完好覆盖CASE 语句中表达式的取值,不然最末一个条件句的选择一定加上最后一句“WHENOTHERS=>< 次序语句 > ”C. CASE 语句中的选择值只好出现一次D . WHEN 条件句中的选择值或表记符所代表的值一定在表达式的精选文档取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包 BA . STD_LOGIC_ARITHB. STD_LOGIC_1164C.STD_LOGIC_UNSIGNEDD.STD_LOGIC_SIGNED8.鉴于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A→综合→适配→时序仿真→编程下载→硬件测试。

电子与通信技术:EDA技术考试题

电子与通信技术:EDA技术考试题

电子与通信技术:EDA技术考试题1、单选可以不必声明而直接引用的数据类型是()。

A.STD_LOGICB.STD_LOGIC_VECTORC.BITD.前面三个答案都是错误的正确答案:C2、单选电(江南博哥)子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法()不属于面积优化。

A、流水线设计B、资源共享C、逻辑优化D、串行化正确答案:A3、单选在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。

A.IFB.THENC.ANDD.OR正确答案:B4、单选关于VHDL数据类型,正确的是()。

A.数据类型不同不能进行运算B.数据类型相同才能进行运算C.数据类型相同或相符就可以运算D.运算与数据类型无关正确答案:D5、名词解释HDL正确答案:硬件描述语言6、单选MAX+PLUSII的设计文件不能直接保存在()。

A.硬盘B.根目录C.文件夹D.工程目录正确答案:B7、填空题EDA设计输入主要包括()、()和()。

正确答案:图形输入;HDL文本输入;状态机输入8、问答题结构体的三种描述方式。

正确答案:即行为级描述、数据流级描述和结构级描述。

9、填空题时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能()。

正确答案:仿真10、单选综合是EDA设计流程的关键步骤,在下面对综合的描述中,()是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。

B.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件。

C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

正确答案:D11、名词解释FPGA正确答案:现场可编程门阵列。

EDA考试复习题目全集及部分答案

EDA考试复习题目全集及部分答案

《EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。

A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。

A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。

A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。

A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。

A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是A 。

A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是B 。

A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。

A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。

A. a_2_3B. a_____2C. 2_2_aD.2a10. 不符合1987VHDL标准的标识符是 C 。

A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。

A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。

A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。

A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置14. 变量是局部量可以写在 B 。

A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。

EDA历届通用考试答案

EDA历届通用考试答案

1. EDA技术可分为狭义的EDA技术和广义的EDA技术2. 狭义的EDA技术是指以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及试验开发系统为设计工具3. EDA的特点:①用软件方式设计硬件②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的③设计过程中可用有关软件进行各种仿真④系统可现场编程,在线升级⑤整个系统可集成在一个芯片上,体积小,功耗低,可靠性高4. EDA发展的三个阶段:CAD、CAE、EDA5. 可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件6. FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称7. FPGA在结构上可分为三部分:可编程逻辑单元、可编程输入\输出单元、可编程连线8. CPLD在结构上主要包括三部分:可编程逻辑宏单元、可编程输入\输出单元、可编程内部连线9. 常用的硬件描述语言有:VHDL、Verilog、ABEL10. EDA的工程设计流程:①源程序的编辑和编译②逻辑综合和优化③目标器件的布线/适配④目标器件的编程/下载⑤设计过程中的有关仿真⑥硬件仿真/硬件测试其中设计仿真包括行为仿真、功能仿真、时序仿真11. PLD从结构的复杂程度分为简单PLD和复杂PLD;从互联结构上分为确定型、设计型;从可编程特性上分为一次可编程、重复可编程12. PLD的主体由与阵列和或阵列构成,同时还包括输入电路和输出电路其各部分的功能为①与阵列和或阵列:与阵列用以产生有关与项,或阵列把所有与项相加构成②输入电路:输入电路中为适度各种输入情况,每一个输入信号都配有一缓冲电路,使其具有足够的驱动能力13. PLD的输入缓冲器和反馈缓冲器均采用互补输出的结构,它的两个输出分别是输入的原码和反码14. 一个EAB可以通过8个输入引脚和8个输出引脚来实现44乘法器,逻辑阵列由逻辑阵列块(LAB)构成,每个LAB是一个独立的结构,具有共同的输入互连与控制信号,每个LAB包含8个逻辑单元(LE)和一个局部连线,每个LE有一个4输入查找表(LUT),一个可编程触发器和一个实现进位和级联功能的专用信号路径,一个I/O单元(IOE)包含一个双向的I/O缓冲器和一个寄存器15. 一个LAB含8个LE,多个LAB成行成列排列组成逻辑阵列,逻辑阵列每行也包含一个EAB,LAB和EAB通过快速通道连接,IOE位于快速通道行线和列线的两端16. 具有两个固定积项和输出的CPLD的结构图:每个单元中含有两个或项输出,而每个或项均有固定的4个乘积项输入,该电路特点:既提高了器件内部个单元的利用率,又可实现更为复杂的逻辑功能17. FPGA的配置模式含义为指FPGA用来完成设计时的逻辑配置和外部连接方式。

EDA工具应用考核试卷

EDA工具应用考核试卷
C.设计哲学不同
D.都是完全相同的功能
3.以下哪些软件是常用的EDA仿真工具?()
A. ModelSim
B. Multisim
C. PSpice
D. AutoCAD
4.以下哪些是FPGA芯片的特点?()
A.可编程逻辑资源
B.现场可编程
C.功耗低
D.成本较高
5.在电路设计中,以下哪些属于前端设计工具?()
20. ABC
三、填空题
1. Electronic Design Automation
2. module
3. VHDL、Verilog
4.后端
5.时序
6. Place
7. signal
8. MATLቤተ መጻሕፍቲ ባይዱB
9. Xilinx ISE
10. task
四、判断题
1. √
2. ×
3. √
4. ×
5. ×
6. √
B. Protel
C. ModelSim
D. MATLAB
13.以下哪个软件不是Altera公司推出的EDA工具?()
A. Quartus
B. ModelSim
C. Nios II
D. Xilinx ISE
14.在VHDL中,以下哪个关键字用于声明一个信号?()
A. signal
B. variable
3.在EDA工具中,用于电路原理图设计的软件是:()
A. CADENCE Orcad Capture
B. ModelSim
C. PowerPCB
D. MATLAB
4.下列哪种语言不是硬件描述语言?()
A. VHDL
B. Verilog

EDA考试题题库及答案

EDA考试题题库及答案

EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。

2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。

3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。

4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。

5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。

6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。

7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。

8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。

9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。

11.在VHDL中,用语句(D)表示clock的下降沿。

A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。

EDA考试题目+答案

EDA考试题目+答案

简答:1.VHDL中变量与信号的主要区别一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。

从VHDL语句功能和行为仿真来看,信号与变量的差异主要表现在接受信息的方式和信息保持与传递的区域大小上。

(1)如:信号可以设置传输延迟量,而变量则不能;(2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。

(3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。

2.ASIC、FPGA、EDA、ISP的含义ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商3.常用的库的名称(IEEE STD WORK VITAL)5.进程语句的特点(1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。

(2)进程内部的顺序语句具有顺序与并行双重性。

顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。

进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。

这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS语句在综合后所对应的硬件逻辑行为也同样具有顺序性。

VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。

(3)进程有启动与挂起两种状态。

(4)进程与进程,或其它并行语句之间通过信号交流。

(5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。

推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。

6.实体定义时端口方向OUT与BUFFER有何不同?OUT:输出端口。

定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。

EDA考试复习题目全集.docx

EDA考试复习题目全集.docx

《EDA 技术与项目训练》选择题1. 一个项目的输入输出端口是定义在A o A. 实体中B.结构体中C.任何位置D.进程体 2. 描述项目具有逻辑功能的是_ B ° A. 实体B.结构体C.配置D.进程 3. 关键字ARCHITECTURE 定义的是A 。

A. 结构体B.进程C.实体D.配置 4. MAXPLUSI1中编译VHDL 源程序时要求C 。

A.文件名和实体可不同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定 5. 1987标准的VIIDL 语言对大小写是 D 。

A. 敏感的B.只能用小写C.只能用大写D.不敏感6. 关于1987标准的VHDL 语言中,标识符描述止确的是 _________ 。

A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL 语言中,标识符描述正确的是B 。

A. 下划线可以连用 B.下划线不能连用 C.不能使用下划线 D.可以使用任何字符8. 符合1987VHDL 标准的标识符是 A 。

A. A_2B. A+2C. 2A 9. 符合1987VHDL 标准的标识符是—A 。

A. a 2 3B. a 2C. 2 2 a10. 不符合1987VHDL 标准的标识符是 C 。

A. a_l_inB. a_in_2C. 2_a11. 不符合1987VHDL 标准的标识符是 _________ oA. a2b2B. alblC. ad 1212. VIIDL 语言中变量定义的位置是 D A. 实体中中任何位置 B.实体中特定位置 C.结构体中任何位置D.结构体中特定位置 13. VIIDL 语言中信号定义的位置是 D A. 实体中任何位置 B.实体中特定位置 C.结构体中任何位置D.结构体中特定位置 14. 变量是局部量可以写在 B 。

A. 实体中B.进程中C.线粒体D.种子体中 15・变量和信号的描述正确的是A ___________ oA. 变量赋值号是:二B.信号赋值号是:二C.变量赋值号是〈二D.二者没有区别16・变量和信号的描述正确的是 _____ B 。

EDA精品课程试卷答案1

EDA精品课程试卷答案1

EDA精品课程试卷答案1《EDA技术》模拟试卷⼀参考答案:⼀、填空题(17空,每空2分,共34分)1、ASIC直译为___专⽤集成电路__________________________________2、EDA即_____电⼦设计⾃动化______________________________________。

3、CPLD和FPGA统称为_____⾼密度可编程逻辑器件______________4、MAX7000系列是Altera公司⽬前销量最⼤的产品,属于⾼性能/⾼密度的_CPLD___5、FLEX10K系列是Altera公司推出的主流产品,属于⾼密度,⾼速度的_FPGA____6、可编程逻辑器件的设计过程可以分为四个步骤_设计输⼊__,_设计实现____,_设计校验__,_下载编程_________7、⽬前应⽤最⼴泛的HDL(硬件描述语⾔)有___VHDL__,__Verilog-HDL_______8、MAX+plus提供了_CPLD/FPGA__的设计,仿真和烧写的环境,是⽬前使⽤极为⼴泛的EDA开发⼯具之⼀.9、构成⼀个完整的VHDL语⾔程序的五个基本结构是_实体__,_结构体__,_库____,_程序包__,__配置_。

⼆、选择题(21空,每空2分,共42分)1、LIBRARY ___A____;USE IEEE.STD_LOGIC_1164.ALL;A. IEEEB. STDC.WORK2、ENTITY counter ISPORT( Clk : IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));END ___B___________;A. counter23B. counterC. work3、ENTITY counter ISPORT( Clk : IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));…………….ARCHITECTURE a OF __B______ IS4、ARCHITECTURE a OF mux4 ISBEGIN…………………END ___A___;A.. aB. bC. c5、LIBRARY IEEE;USE IEEE.______A_____.ALL;A. STD_LOGIC_1164B. IEEE_LOGIC_1164C. WORK_LOGIC_11646、下列是⼀个四选⼀的数据选择器的实体,S,A,B,C,D是输⼊端,Y是输出端ENTITY multi_4v IS PORT(S :__A____ STD_LOGIC_VECTOR (1 DOWNTO 0);A,B,C,D :___A___ STD_LOGIC;Y :__B_____ STD_LOGIC);END multi_4v;A. IN B . OUT C. BUFFER7、下⾯是⼀个计数器的实体,clk是输⼊端,q是输出端ENTITY countclr ISPORT(clk :__A_____ STD_LOGIC;q :____C_____ STD_LOGIC_VECTOR(7 DOWNTO 0)); END countclr;ARCHITECTURE one OF countclr ISBEGIN………………A. INB. OUTC. BUFFER8、ARCHITECTURE one OF multi_4v IS_B_______……..END one;9、PROCESS(clk)V ARIABLE qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); ___C______IF clk'event AND clk='1' THEN……………….END PROCESS;A. INB. ENDC. BEGIN10、CASE D ISWHEN 0__A____ S___C____"0000001"; --0A. =>B. >=C. <=11、IF clr='0' THEN qtmp:="00000000";ELSE qtmp:=qtmp+1;____B____;A. END PROCESSB. END IFC. BEGIN12、IF j='0' AND k='0' THEN NULL;__C_____ j='0' AND k='1' THENqtmp<='0';A. ELSEIFB. ELSE IFC. ELSIF13、PROCESS(clk)V ARIABLE qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINIF clk'event AND clk='1' THENIF clr='0' THEN qtmp__C___"00000000";A. =>14、PROCESS(clk)___B______ qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF clk'event AND clk='1' THENIF clr='0' THEN qtmp<="00000000";A. V ARIABLEB.SIGNALC. BEGIN15、下⾯是循环移位寄存器的部分程序dout(4 DOWNTO 1)<=dout(3 DOWNTO 0);__C_____<=dout(4);A. dout(1)B. dout(3)C. dout(0)16、进程(process)语句是___B_____A. 顺序语句B. 并⾏语句C. 其它17、IF语句是__A__A. 顺序语句B. 并⾏语句C. 其它三、画出下列程序的原理图,并说明其功能。

(完整word版)EDA技术题库

(完整word版)EDA技术题库

(完整word版)EDA技术题库EDA技术题库⼀.填空题1. Verilog 的基本设计单元是模块。

它是由两部分组成,⼀部分描述接⼝;另⼀部分描述逻辑功能,即定义输⼊是如何影响输出的。

2. ⽤assign 描述的语句我们⼀般称之为组合逻辑,并且它们是属于并⾏语句,即于语句的书写次序⽆关。

⽽⽤always 描述的语句我们⼀般称之为组合逻辑或时序逻辑,并且它们是属于串⾏语句,即于语句的书写有关。

3.在case 语句中⾄少要有⼀条default 语句。

4. 已知x=4'b1001,y=4 '0110,则x的4位补码为4'b1111,⽽y的4位的补码为4 'b0110。

5. 两个进程之间是并⾏语句。

⽽在Always 中的语句则是顺序语句。

6. 综合是将⾼层次上描述的电⼦系统转换为低层次上描述的电⼦系统,以便于系统的具体硬件实现。

综合器是能⾃动将⾼层次的表述(系统级、⾏为级)转化为低层次的表述(门级、结构级)的计算机程序7. 设计输⼊的⽅式有原理图、硬件描述语⾔、状态图以及波形图。

8. 按照仿真的电路描述级别的不同,HDL 仿真器可以完成:系统级仿真,⾏为级仿真,RTL 级仿真,门级(时序)仿真。

按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。

仿真器可分为基于元件(逻辑门)仿真器和基于HDL语⾔的仿真器9. IP核是知识产权核或知识产权模块,在EDA技术中具有⼗分重要的地位。

半导体产业的IP定义为⽤于ASIC或FPGA中的预先设计好的电路功能模块。

IP分为软IP、固IP和硬IP。

10. 可编程逻辑器件PLD是⼀种通过⽤户编程或配置实现所需逻辑功能的逻辑器件,也就是说⽤户可以根据⾃⼰的需求,通过EDA开发技术对其硬件结构和⼯作⽅式进⾏重构,重新设计其逻辑功能11. 两种可编程逻辑结构是基于与- 或阵列可编程结构(乘积项逻辑可编程结构)、基于SRAM 查找表的可编程逻辑结构12. PLD按集成度分类:简单PLD复杂PLD按结构分类:基于“与-或”阵列结构的器件、基于查找表结构的器件;从编程⼯艺上分类:熔丝型、反熔丝型、EPROh型、EEPRO型、SRAM 型、Flash 型13. Verilog 的端⼝模式有三种:输⼊端⼝、输出端⼝、双向端⼝,对应的端⼝定义关键词分别是:input 、output 、inout14. Verilog 中常⽤有两种变量:寄存器型变量(⽤reg 定义)、⽹线型变量(⽤wire 定义)15. Verilog 有两种赋值⽅式:阻塞式赋值(=)、⾮阻塞式赋值(<=)16. Verilog 有四种循环语句:for 语句、repeat 语句、while 语句、forever 语句17.Verilog 的描述风格:RTL 描述、数据流描述、⾏为描述、结构描述18. 从状态机的信号输出⽅式上分,有Mealy 型和Moore 型两种状态机;从状态机的描述结构上分,有单过程状态机和多过程状态机;从状态机表达⽅式上分,有符号化状态机和确定状态编码的状态机;从状态机编码⽅式上分,有顺序编码状态机、⼀位热码编码状态机或其他编码⽅式状态机。

EDA试题题库及参考答案

EDA试题题库及参考答案

一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。

A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。

7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库B.VITAL库C.STD库D.WORK工作库8.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。

EDA技术试卷试题库题集.docx

EDA技术试卷试题库题集.docx

[70%基础题, 20%中档题, 10%提高题(试题容量: 20 套试卷,其中每套试题填空题 10 空(每空 2 分),选择题 10 题(每题 2 分)),简答题 4 题(每题 5 分),分析题 2 题(每题 10 分),设计题 2 题(每题 10 分)。

]基础题部分填空题( 140 空)1.一般把EDA技术的发展分为(CAD)、( CAE)和( EDA)三个阶段。

2. EDA 设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。

3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真 , 因此又称为(功能仿真)。

4. VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。

5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。

6.以 EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者( CPLD)芯片中,完成硬件设计和验证。

7. MAX+PLUS的文本文件类型是(.VHD)。

8.在 PC上利用 VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。

9. VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。

10.常用 EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。

11.在 VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。

12. 将硬件描述语言转化为硬件电路的重要工具软件称为(HDL综合器)。

13、 VHDL 的数据对象分为(常量)、(变量)和(信号) 3 类。

14、 VHDL 的操作符包括(算术运算符)和(符号运算符)。

15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。

16、 VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。

17、 VHDL 同或逻辑操作符是(XNOR)。

EDA试题——精选推荐

EDA试题——精选推荐

EDA试题⼀、填空(每空1 分,共20 分)1、CPLD是complex programmable logic devices,FPGA是field programmable gatearray。

2、常⽤的硬件描述语⾔有VHDL 、 verilog 、 ABEL。

3、VHDL语句包括顺序语句、并⾏语句。

4、设计过程中的仿真有⾏为仿真、功能仿真、时序仿真三种。

5、状态机由时序逻辑、组合逻辑两个进程构成。

6、VHDL 中,字符的表⽰是‘’,字符串的表⽰是“”。

7、数字系统主要包括数据处理⼦系统、控制⼦系统两部分。

8、VHDL 中有算术操作符、逻辑操作符、关系操作符、符号操作符四类操作符。

⼆、名词解释(每题5 分,共20 分)1、EDA 技术:P12、逻辑综合:P103、⽶⽴机:P2214、⾏为描述:P190三、简答题(每题2 分,共20 分)1、简述EDA 技术的特点,并列出 EDA ⼯程的设计流程。

1)、⽤软件的⽅式设计硬件;2)、⽤软件⽅式设计的系统到硬件系统的转换由有关的开发软件⾃动完成;3)、设计过程中可⽤有关软件进⾏各种仿真;4)、系统可现场编程,在线升级;5)、整个系统可集成在⼀个芯⽚上,体积⼩、功耗低、可靠性⾼;6)、从以前的“组合设计”到真正的“⾃由设计“;7)、设计的移植性好,效率⾼;8)、⾮常适合分⼯设计,团体协作。

2、简述顺序语句和并⾏语句的区别,信号赋值和变量赋值的区别顺序语句:P144;并⾏语句:P165信号赋值和变量赋值的区别:P144四、综合题(每题10 分,共40 分)1、⽤VHDL 描述⼀个3-8 线译码器,电路要求如下:输⼊:a、b、c ,输出:Y(7 DOWNTO 0),由输⼊信号编码的不同,分别在对应的输出位上输出为⾼电平,其余为0。

P1942、⽤VHDL 设计⼀个时钟使能的⼗进制计数器。

输⼊:CLK、CLR、ENA,输出:计数CQ(2 DOWNTO 0),进位:COP2053、设计⼀个全加器电路,设计⽅式不限。

《电子EDA技术》考核考试试题一

《电子EDA技术》考核考试试题一

《电子EDA技术》考核试题一一、填空题。

(每题3分,共24分)1.开始任何设计前,必须先新建文件,新建方法是执行菜单命令2.放大窗口时,按下键盘上的键,缩小窗口时,按下键盘上的键。

3.可视栅格可以设置为mil。

4.元件处于浮动状态时,按下键可以打开元件属性对话框。

5.在元件处于浮动状态时,连续按键可以实现元件的旋转操作,按键可以使元件在水平方向左右翻转,按键可以使元件在垂直方向上下翻转。

6.层次性原理图的设计方法有两种,一种是,一种是。

7.网络表由部分和部分两部分组成。

层。

二、判断题。

(对的打“√”,错的打“×”,每题2分,共20分)1.原理图设计的第一步是新建原理图文件。

()2.因为不知道要用多少元件,所以最好尽可能多地载入元件库备用。

()3.在原理图编辑器中,若某个元件旁边出现了一条粉色波浪线,说明该元件编号重复了,提示操作者进行修改。

()4.在对对象进行任何操作之前,都必须先选中该操作对象。

()5.网络标号不具有电气特性。

()6.单面PCB板布线时,铜箔导线应分布在Top Layer层,且导线颜色默认为蓝色。

()7.PCB板自动布线结果中,若某导线拐角呈现45°,也是符合布线规则的,不需手工修改走线。

()8.PCB编辑器中元件布局时,可以将元件布局在Keep-Out Layer 层。

()9.对于元件外形和管脚排列比较规范的元件,可以采用向导法创建元件封装。

()10.PCB板布线时,导线越细越好。

()三、简答题。

(每题8分,共16分)1.简要叙述Protel DXP软件主窗口中文件菜单、编辑菜单、查看菜单、项目管理菜单、放置菜单的主要功能。

2.请写出以下常见元器件的英文名称及常用封装系列。

四.上机操作题。

(每题20分,共40分)1. 上机绘制如下图所示的原理图元件,并命名为IC1114。

2.利用向导法制作如下图所示的电感封装L201,其中焊盘参数如下:X Size=2.5mm, Y Size=1.2mm,Hole Size=0.9mm。

2012-2013年海南大学EDA期末考试卷

2012-2013年海南大学EDA期末考试卷

2012-2013年海南大学信息学院EDA技术及应用考试试卷(A卷)时间:120分钟(2012年12月)考试形式:闭卷一、选择题(20分)1.下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程:(B)A. 原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试B. 原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试C. 原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;D. 原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,____C_____是错误的。

A. 综合就是将电路的高级语言转化成低级的,可与FPGA /CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合是纯软件的转换过程,与器件硬件结构无关;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。

3.CPLD的可编程是主要基于什么结构:(D)A .查找表(LUT);B. ROM可编程;C. PAL可编程;D. 与或阵列可编程;4. IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:(C)A. 硬IP;B. 固IP;C. 软IP;D. 都不是;5. 流水线设计是一种优化方式,下列哪一项对资源共享描述正确_ C。

A. 面积优化方法,不会有速度优化效果B. 速度优化方法,不会有面积优化效果C. 面积优化方法,可能会有速度优化效果D. 速度优化方法,可能会有面积优化效果6.在VHDL语言中,下列对时钟边沿检测描述中,错误的是___D____。

A. if clk’event and clk = ‘1’ thenB. if falling_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then7状态机编码方式中,其中_____C____占用触发器较多,但其实现比较适合FPGA的应用A. 状态位直接输出型编码B. 顺序编码C. 一位热码编码D. 以上都不是8.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列那种方法是速度优化___A______。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档