QuartusII基本设计流程
Quartus II基本设计流程
使用Quartus® II软件: 简介
© 2008 Altera Corporation—Confidential
目的
建立新的Quartus® II工程 通过不同的设计输入方法来建立设计文件 将设计编译至FPGA 找到得出的编译信息 建立设置和分配 管理I/O约束 配置(编程)FPGA
Quartus II开发系统
提供MegaWizard® Plug-In Manager & SOPC Builder设计 工具
TimeQuest时序分析工具 增量编译特性 PowerPlay功耗分析工具 支持32 & 64-bit Windows & Linux 支持Multi-processor处理
欢迎界面
Get
Turn on or off in Tools Options
© 2008 Altera Corporation—Confidential Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation 10
使用Quartus® II软件
Altera及Altera产品介绍
© 2008 Altera Corporation—Confidential
PLD完整方案提供商
QuartusII使用入门及FPGA设计流程
第3章Quartus II使用入门及FPGA设计流程Quartus II可编程逻辑开发软件是Altera公司为其FPGA/CPLD芯片设计推出的专用开发工具,是Altera公司最新一代功能更强的EDA开发软件,可完成从设计输入,综合适配,仿真到下载的整个设计过程。
Quartus II提供了一个完整的多平台开发环境,它包含FPGA和CPLD整个设计阶段的解决方案。
Quartus II集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件设计、综合、布局和布线,验证和仿真。
Quartus II也可以直接调用Synplify Pro、ModelSim等第三方EDA 工具来完成设计任务的综合与仿真。
Quartus II与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,方便快捷。
Quartus II还内嵌SOPC Builder,可实现SOPC系统的开发。
Quartus II 9.0主界面如图3.1示。
图 3.1 Quartus II 9.0界面3.1 Quartus II 基本设计流程Quartus II 设计的主要流程包括创建工程、设计输入、分析综合、编译、仿真验证、编程下载等,其一般流程如图 3.2所示。
下面以硬件描述语言输入法设计计数器为例,说明Quartus II 的设计流程。
3.1.1 创建工程使用Quartus II 设计电路被称作工程。
Quartus II 每次只进行一个工程,并将该工程的全部信息保存在同一个文件夹中。
开始一项新的电路设计,首先要创建一个文件夹,用以保存该工程的所有文件。
之后便可通过Quartus II 的文本编辑器编辑Verilog 源文件并存盘。
3.1.2 设计输入Quartus II 中包含原理图输入和硬件描述语言输入两种方法。
(1)原理图输入原理图输入的优点是,设计者不必具有诸如编译技术、硬件描述语言等新知识就能迅速入门,完成较大规模的电路系统的设计,且具有直观,易于理解的特点,适合于初学者使用。
QuartusII软件使用及设计流程
时序优化
时序分析
在Quartus II中进行时序分析,确保设计满足时序要求,找出关键 路径并优化。
延迟调整
通过调整逻辑单元的时序参数,减小关键路径的延迟,提高时钟频 率。
布局优化
合理安排逻辑单元的位置,减小信号传输延迟,提高时序性能。
面积优化
优化算法
采用高效的算法和优化策略,减小设计规模, 降低面积成本。
低功耗硬件选择
选择低功耗硬件器件和IP核,从硬件层面降低功 耗。
06
Quartus II实际应用案 例
数字钟设计案例
总结词
数字钟设实现数字钟的原理图 设计和编程。
详细描述
首先,在Quartus II软件中创建一个新的工程,选择合适的FPGA芯片型号。然后,使 用原理图输入方式设计数字钟电路,包括分频器、计数器、译码器等模块。接着,进行 仿真测试以确保设计正确。最后,将设计文件下载到FPGA芯片中,完成数字钟的硬件
保存配置
完成配置后,保存配置文件以便以后使用。
许可证激活与验证
获取许可证文件
从Altera官网或授权合作伙伴处获取Quartus II软件 的许可证文件。
激活许可证
运行许可证激活程序,输入许可证文件中的激活码进 行激活。
验证许可证
启动Quartus II软件,验证许可证是否有效,确保软 件正常使用。
完成串口通信的硬件实现。
THANKS FOR WATCHING
感谢您的观看
Quartus II软件中提供了大量的IP核,用户可以直接调用这些IP核进行设计, 避免了重复造轮子,提高了设计效率。
IP核定制
对于一些特殊需求,用户可以通过定制IP核的方式实现。Quartus II软件提供了 IP核定制工具,用户可以根据需求对IP核进行修改和定制,以满足特定设计要求。
QuartusⅡ软件工具设计步骤啊
QuartusⅡ软件工具设计步骤一、QuartusⅡ原理图输入法应用数字逻辑电路的基本知识,使用QuartusⅡ原理图输入法可非常方便地进行数字系统的设计。
应用QuartusⅡ原理图输入法,还可以把原有的使用中示规模的通用数字集成电路设计的数字系统移植到FPGA或CPLD中。
(一)建立工程文件夹1.新建一个文件夹作为工程项目目录首先在计算机中建立一个文件夹作为工程项目目录,此工程目录不能是根目录,比如D:,只能是根b录下的b录,比如D:\EDA _book \code\Chapter3\BiaoJueQi。
2.建立工程项目运行Quartus Ⅱ软件,执行File=>New Project Wizard 命令,建立工程。
在界面中单击Next按钮。
在所弹出的New Project Wizard对话框中,填写Directory,Name, Top-Level Entity等项目。
其中第一、第二、第三个文本框分别是工程项目目录、项目名称和项目顶层设计实体的名称。
单击Next按钮,出现添加工程文件的对话框。
若原来己有文件,可选择相应文件,这单直接单击Next进行下一步,选择FPGA器件的型号。
在Family下拉框中,根据需要选择一种型号的FPGA,比如Cyclone系列FPGA。
然后在“Available devices:”中根据需要的FPGA 型号选择FPGA型号,比如“EP1C3T144C8”,注意在Filters一栏中选中“Show Advanced Devices”以显示所有的器件型号。
再单击Next按钮,出现对话框。
对于弹出的其他EDA工具的对话框,由于我们使用Quartus Ⅱ的集成环境进行开发,因此不要作任何改动。
单击Next进入工程的信息总概对话框。
单击Finish按钮就建立了一个空的工程项目。
二、编辑设计图形文件1.建立原理图文件执行File => New 命令,弹出新建文件对话框。
QuartusII设计流程
电 源 开 关
K1
散 热 器
源 电 测 检
C38
口 接 标 鼠
FUSE ByteBlasterMV
口 接 路 电 示 指 式 模
50M晶 振
码 数 8
码 数 7
码 数 6
码 数 5
码 数 4
码 数 3
码 数 2
码 数 1
D8
D7
D6
D5
D4
D3
D2
D1 S1
器 声 扬
计 率 频
口 接 载 下 线 在
结构模式 NO.1 。适用于作加法器、减法器、比较周期计,计数器等等
SPEAKER
结构模式NO.5。
(1) 结构图NO.0此电路可用于设计频率计、周期计、计数器等。 (2) 结构图NO.1:适用于作加法器、减法器、比较器或乘法器等。 (3) 构图NO.2:可用于作VGA视频接口逻辑设计,或使用数码管8至数 码管5共4个数码管作七段显示译码方面的实验;
2、四个开关控制一盏灯的逻辑电路 NO.5
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sxy is port(k0,k1,k2,k3:in std_logic; Dout:out std_logic ); end entity sxy; architecture art of sxy is signal k:std_logic_vector(3 downto 0); begin k<=k3&k2&k1&k0; process(k0,k1,k2,k3) begin case k is when "0000" =>Dout<='0'; when "0001" =>Dout<='1'; when "0011" =>Dout<='0'; when "0010" =>Dout<='1'; when "0110" =>Dout<='0'; when "0111" =>Dout<='1'; when "0101" =>Dout<='0'; when "0100" =>Dout<='1'; when "1100" =>Dout<='0'; when "1101" =>Dout<='1'; when "1111" =>Dout<='0'; when "1110" =>Dout<='1'; when "1010" =>Dout<='0'; when "1011" =>Dout<='1'; when "1001" =>Dout<='0'; when "1000" =>Dout<='1'; when others =>Dout<='X'; end case; end process; end architecture art;
简述quartus ll的设计流程
简述quartus ll的设计流程下载温馨提示:该文档是我店铺精心编制而成,希望大家下载以后,能够帮助大家解决实际的问题。
文档下载后可定制随意修改,请根据实际需要进行相应的调整和使用,谢谢!并且,本店铺为大家提供各种各样类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,如想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by theeditor.I hope that after you download them,they can help yousolve practical problems. The document can be customized andmodified after downloading,please adjust and use it according toactual needs, thank you!In addition, our shop provides you with various types ofpractical materials,such as educational essays, diaryappreciation,sentence excerpts,ancient poems,classic articles,topic composition,work summary,word parsing,copy excerpts,other materials and so on,want to know different data formats andwriting methods,please pay attention!Quartus II设计流程详解Quartus II是一款由Altera公司开发的硬件描述语言(HDL)综合工具,主要用于FPGA(Field-Programmable Gate Array)的设计和开发。
QuartusⅡ基本操作流程
QuartusⅡ基本操作流程一、 打开QuartusⅡ软件并建立工程1、在Windows桌面上选择“开始”→“程序”→Altera→QuartusⅡ9.0,打开QuartusⅡ9.0软件,软件界面如图所示。
2、选择File→New Project Wizard 新建一项工程。
新建工程向导说明对话框如图所示。
3、单击Next进入下图所示对话框。
任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被QuartusⅡ默认为工作库(Work Library)。
注意文件夹不能用汉字,不要将文件夹设在安装目录中。
图中第一栏用于指定工程所在工作库文件夹;第二栏用于指定工程名;第三栏用于指定顶层文件的实体名。
本例工程的路径为E:\eda,工程名和顶层文件的实体名均为cntm12。
4、单击Next进入图示对话框。
由于是新建工程,暂无输入文件。
5、单击Next进入图示对话框。
在该对话框中指定目标器件,这里我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。
6、单击Next进入图示对话框。
本实验利用QuartusⅡ的集成环境进行开发,不使用任何EDA工具,因此这里不做任何改动。
7、单击Next进入图示对话框。
从该对话框中,可以看到工程文件配置信息报告。
单击Finish,完成新建工程的建立。
需要注意的是,建立工程后,还可以根据设计中的实践情况对工程进行重新设置,可选择Assignments→Settings进行设置。
二、 建立图形设计文件1、在QuartusⅡ主界面中,选择File→New打开如图所示的对话框。
2、在对话框中选择Block Diagram/Schematic File,单击OK打开图形文件编辑器,建立一个空的图形设计文件,默认名为Block1.bdf。
如图所示3、在图形编辑器窗口中的任意处双击,弹出添加符号(Symbol)对话框,如图所示。
QuartusII软件使用及设计流程
(3)编辑输入信号并保存文件。在图1-22中单击 “Name”下方的“A”,即选中该行的波形。在本 例中将输入信号“A”设置为时钟信号,单击工具 栏中的 按钮,弹出“Clock”对话框,此时可以 修改信号的周期、相位和占空比。设置完后单击 “OK”按钮,输入信号“A”设置完毕。同理设置 其他输入信号“B”,最后单击保存文件按钮 , 根据提示完成保存工作,如图1-23所示。同时, 为了方便读者熟悉其他波形编辑工具的使用,在 图1-24中标注了其他波形编辑工具的功能。
Quartus II软件及其使用
Quartus II使用及设计流程
QuartusⅡ是Altera公司推出的新一代开发软件,适合于 大规模逻辑电路设计。 QuartusⅡ支持多种编辑输入法,包括图形编辑输入法, VHDL、Verilog HDL和AHDL的文本编辑输入法,符号 编辑输入法,以及内存编辑输入法。 QuartusⅡ与MATLAB和DSP Builder结合可以进行基于 FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA 工具,与SOPC Builder结合,可实现SOPC系统开发。
(4)第三方工 具选择。 如图1-7所示, 用户可以选择所 用到的第三方工 具,比如 ModleSim、 Synplify等。在 本例中并没有调 用第三方工具所示。建立的工程的名称、选择的器件和 选择的第三方工具等信息,如果无误的话就可 以单击“Finish”按钮,弹出如图1-9所示的窗口, 在资源管理窗口可以看到新建的工程名称 half_add。
(2)选择元件。在原理图编辑窗中的任何一个位置上双
击鼠标的左键将跳出一个元件选择窗。
参数可设置的强函数元件库
MAX+plus库
基本逻辑元件库
基本逻辑元件库中的元件
Quartus ii的设计流程
以十进制计数器设计为例介绍Quartus II的基本设计流程一、编辑和输入设计文件1、新建一个文件夹如F:\cnt10b ,本工程所有文件将存放在此目录中。
1)输入VHDL源程序打开QuartusII,选择菜单File->New。
选择Verilog HDL File,如图4-1所示。
输入源程序,如图4-2所示。
2)文件存盘。
选择File->Save As命令,找到已设立的文件夹F:\cnt10b,存盘文件名应与实体名一致,存盘为CNT10.v。
当出现语句“do you want to create…..”的对话框,选择“是”自动创建工程。
这里先选择“否”,即暂时不创建工程流程。
下一步手动创建工程。
2、创建工程1)选择菜单File->New project Wizard命令,即弹出工程设置对话框。
出现对话框如下单击此对话框右侧的“…”进行设置,第一行的D:\cnt10b表示工程所在的工作库文件夹,第二行的CNT10表示此项工程的工程名,第三行是当前工程顶层文件的实体名。
2)将设计文件CNT10.v添加入工程中。
点击上图的“…”按钮,在弹出的对话框中选择CNT10.v文件。
单击“Add”按钮,结果如图4-4所示。
3)选择目标芯片器件选择Cyclone系列中的EP1C6Q240C8。
也可以从主菜单Assignments的下拉菜单中点击 Device目标芯片设置窗口。
4)工具设置,此处不进行设置,点击Next。
图75)结束设置列出了此工程相关设置情况,点击Finish按钮,出现project nevigator 窗口,显示本工程项目的层次结构和各层次的实体名。
6)关闭与打开工程选择File->close project。
选择File->Open project。
二、全程综合与编译选择Processing->start compilation,等待一段时间,跳出对话框提示编译成功或有错误,并在信息栏显示错误信息。
QuartusII操作简略入门
5. 将设计项目设置成工程和时序仿真
f_adder.bdf工程设置窗
5
5. 将设计项目设置成工程和时序仿真
加入本工程所有文件
6
5. 将设计项目设置成工程和时序仿真
全加器工程f_adder的仿真波形
7
步骤6:引脚锁定
8
4.4 设计实例
第5步:给输入、输出引脚分配引脚号码,编程下载
(1)对顶层图形文件counter_7seg.bdf 进行引脚锁定;
键2则对应 10K10的第 6脚,可输 入ain,依 次 类推。
13
根据电路结构模式NO.5 查上表,EPF10K10器件对应:
加数 ain : PIO1 -> 键2 \D10对应引脚 -> 6 被加数 binB : PIO0 -> 键1 \D9对应引脚 -> 5
和 sum : PIO8 -> D1对应引脚 -> 17 低位进位 cin : PIO2 -> 键3 \D11对应引脚 -> 7 高位溢出位 cout : PIO9 -> D2 对应引脚 -> 18
键1定义 为:bin
11
显示cout 这里插上的是 10K10目标板
显示电路 模式NO.5
显示sum
按此键选择 电路模式
输入cin
输入ain 输入bin12
对于10K10器件 选此列
对于电路 模式5,键 1对应于 10K10的第 5脚,可输 入bin
19
引脚锁定(实验1)
模式:NO.1
加数a[7..0] : 键4,键3 : PIO15 ~ PIO8 (25,24,23,22,21,19,18,17) ——显示于数码管4,3
QuartusII基本设计流程
第2章 Quartus Ⅱ应用向导Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDLAltera Hardware Description Language等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程.Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式.具有运行速度快,界面统一,功能集中,易学易用等特点.Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度.对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具.此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统SOPC开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台.Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用.目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变.Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法.Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎.Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台.该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计.Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys 和Synplicity等EDA供应商的开发工具相兼容.改进了软件的LogicLo ck模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力.支持MAX7000/MAX3000等乘积项器件基本设计流程本节以十进制计数器为例,通过实现流程,详细介绍Quartus II的重要功能和使用方法建立工作库文件和编辑设计文件任何一项设计都是一项工程Project,都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹.此文件夹将被EDA软件默认为工作库Work Library.一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中.在建立了文件夹后就可以将设计文件通过QuartusII的文本编辑器编辑并存盘.注意不要将文件夹设在计算机已有的安装目录中,更不要将工程文件直接放在安装目录中1新建一个文件夹.这里假设本项设计的文件夹取名为jsq,在E盘中,路径为E:\jsq .注意,文件夹名不能用中文,也最好不要用数字.2输入源程序.打开计算机桌面上图表,选择菜单File→New,出现如图所示见面,在New窗口Device Design Files中选择编译文件的语言类型,这里选择VHDL File,选好后用鼠标左键单击OK按钮,出现源程序输入窗口如图所示以十进制为例.图选择编译文件的语言类型图源程序输入窗口十进制计数器源程序如下:LIBRARY IEEE;USE CNT10 ISPORT CLK,RST,EN : IN STD_LOGIC;CQ : OUT STD_LOGIC_VECTOR3 DOWNTO 0;COUT : OUT STD_LOGIC ;END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESSCLK, RST, ENVARIABLE CQI : STD_LOGIC_VECTOR3 DOWNTO 0;BEGINIF RST = '1' THEN CQI := OTHERS =>'0' ; --计数器异步复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN = '1' THEN --检测是否允许计数同步使能IF CQI < 9 THEN CQI := CQI + 1; --允许计数, 检测是否小于9ELSE CQI := OTHERS =>'0'; --大于9,计数值清零END IF;END IF;END IF;IF CQI = 9 THEN COUT <= '1'; --计数大于9,输出进位信号ELSE COUT <= '0';END IF;CQ <= CQI; --将计数值向端口输出END PROCESS;END behav;3文件存盘选择File→Save As命令,找到已建立的文件夹E:\ jsq,存盘文件名应与实体的名字一致,即CNT10,其界面窗口如图所示.图文件存盘单击“否N”按钮,则按以下方法进入创建工程流程.创建工程使用New Project Wizard可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA工具,以及目标器件系列和具体器件等.1打开建立新工程管理窗选择File→New Preject Wizard工具选项创建设计工程命令,即弹出“工程设置”对话框如图所示,单击对话框最上第一栏右侧的“…”按钮,找到文件夹E:\jsq,选种已存盘的文件CNT10,再单击打开按钮,既出现如图所示的设置情况.对话框中第一行表示工程所在的工作库文件夹,第二行表示此项工程的工程名,第三行表示顶层文件的实体名.图利用New Preject Wizard创建工程CNT102将设计文件加入工程中单击图中下方的Next按钮,出现如图所示的对话框,在弹出的对话框中单击File name栏的按钮,将与工程相关的所有VHDL文件加入此工程,加入完成后单击Next按钮.此工程加入的方法有两种:第一种是单击Add All按钮,将设定的工程目录中的所有VHDL文件加入到工程文件栏中;第二种方法是单击“Add …”按钮,从工程目录中选出相关的VHDL文件.图将所有的工程VHDL文件加入此工程图3选择仿真器、综合器和目标器件的类型单击图中Next按钮,即弹出如图所示的仿真器和综合器及目标器件对话框.其仿真器和综合器及目标器件设置如图所示.首先在 Famil 栏选芯片系列,在此选Cyclone系列,在有效器件列表中选择专用器件,分别选择封装形式为PQFP,引脚输出240,器件速度级别为8,选择此系列的具体芯片是EP1C6Q240C8, 这里EP1C6表示Cyclone系列及此器件的规模.设计完成后单击Finish按钮.图仿真器和综合器类型设置图4工具设置.单击图中的Next按钮后,弹出图所示工具设置窗口,此窗口有3项选择.EDA design entry/synthesis用于选择输入的HDL类型和综合工具.EDA simulation用于选择仿真工具.EDA timing analysis tool用于选择时序分析工具,这是除Cyclone自含的所有设计工具以外的外加的工具,因此,如果都不做选择,表示选择Cyclone自含的所有工具.在此例中这3项都不做选择,单击Next后即弹出图所示”工程设置统计”窗口.最后单击图中Finish,即已设定好此工程,并出现CNT10的工程管理窗口.Quartus Ⅱ将工程信息存储在工程配置文件中,它包含有关Quartus Ⅱ工程的所有信息,包括设计文件、波形文件、Signa1Tap Ⅱ文件、内存初始化文件等,以及构成工程的编译器、仿真器和软件构建设置.建立工程后,可以使用工具栏的Project→ADD/Remove Files Project页在工程中添加和删除、设计其它文件,在执行Quartus Ⅱ的Analysis &Synthesis期间,Quartus Ⅱ将按ADD/Remove Files Project页中显示的顺序处理文件.图工具设置窗口图工程设置统计”窗口编译前设置选择FPGA目标芯片.目标芯片的选择也可以这样来实现:选择Assignmemts菜单中的settings项,可以弹出图对话框.选择配置器件的工作方式.单击图中的Device & Pin Options按钮,进入选择窗,这将弹出Device & Pin Options窗口,其对话框如图所示.在Configuration选项页,选择配置器件为EPCS4,其配置模式可选择Active Serial.这种方式只对专用的Flash技术的配置器件专用于Cyclone系列FPGA的EPCS4和EPCS1等进行编程.注意,PC机对FPGA的直接配置方式都是JTAG方式,而对于FPGA进行所谓“掉电保护式”编程通常有两种:主动串行模式AS Mode和被动串行模式PS Mode.对EPCS1/EPCS4的编程必须用AS Mode.图选择FPGA目标芯片图选择配置器件工作方式图全程编译Quartus II编译器是由一系列处理模块构成的,这些模块负责对设计项目的检错,逻辑综合、结构综合、输出结果的编辑配置,以及时序分析.在这一过程中,将设计项目适配到FPGA/CPLD目标器中,同时产生多种用途的输出文件,如功能和时序信息文件、器件编程的目标文件等.编译器首先检查出工程设计文件中可能错误信息,供设计者排除.然后产生一个结构化的以网表文件表达的电路原理图文件.编译前首先选择Processing菜单的Start Compilation项,启动全程编译.这里所谓的全程编译Compilation包括以上提到的Quartus II对设计输入的多项处理操作,其中包括排错、数据网表文件提取、逻辑综合、适配、装配文件仿真文件与编程配置文件生成,以及基于目标器件的工程时序分析等.编译过程中要注意工程管理窗下方的“Processing”栏中的编译信息.如果工程中的文件有错误,启动编译后在下方的Processing处理栏中会显示出来,如图所示.对于Processing栏显示出的语句格式错误,可双击错误信息条文,即弹出对应的vhdl文件,在深色标记条处即为文件中的错误,再次进行编译直至排除所有错误.如果编译成功,可以见到如图所示的工程管理窗的左上角显示了工程cnt10的层次结构和其中结构模块耗用的逻辑宏单元数;在此栏下是编译处理流程,包括数据网表建立、逻辑综合、适配、配置文件装配和时序分析等.最下栏是编译处理信息;中栏Compilation Report栏是编译报告项目选择菜单,点击其中各项可以详细了解编译与分析结果.图全程编译后信息图时序仿真对工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求.以VWF文件方式的仿真流程的详细步骤如下:1打开波形编辑器.选择菜单File中的New项,在New窗口中选择Other Files 中的Vector Waveform File如图所示,单击OK按钮,即出现空白的波形编辑器如图所示,注意将窗口扩大,以利观察.图选择编辑矢量波形文件图波形编辑器2设置仿真时间区域,对于时序仿真来说,将仿真时间设置在一个合理的时间区域上十分重要.通常设置时间范围在数十微妙间.首先在Edit菜单中选择End Time 项,即弹出如图所示窗口.在此例中整个仿真时间设置为10μs,单击OK按钮结束设置.图设置仿真时间长度3将工程CNT10的端口信号节点选入波形编辑器中.方法是首先选择View 菜单中的Utility Windows项的Node Finder项.弹出的对话框如图所示,在Filter框中选Pins : all通常已默认选此项,然后单击List按钮,于是在下方的Nodes Found 窗口中出现设计中的CNT10工程的所有端口引脚名.图 CNT10的信号节点注意如果此对话框中的“List”不显示CNT10工程的端口引脚名,需要重译一次,即选择Processing→Start Compilation,然后再重复以上操作过程.最后,用鼠标将重要的端口节点CLK、EN、RST、COUT和输出总线信号CQ分别拖到波形编辑窗,结束后关闭Nodes Found窗口.单击波形窗左侧的“全屏显示”按钮,使全屏显示,并单击“放大缩小”按钮后注意:左键放大,右键缩小,再用鼠标在波形编辑区域右键单击,使仿真坐标处于适当位置,如图所示,这时仿真时间横坐标设定在数十微秒数量级.设定仿真时间宽度,选择Edit项及其End time选项,在End time选择窗中选择适当的仿真时间域,如可选10us,以便有足够长的观察时间.图拖入节点后波形编辑器4波形文件存盘.选择File中的Save as,将以默认名为的波形文件存入文件夹E:\jsq中,即出现如图所示的激励波形文件存盘窗口.图 vwf激励波形文件存盘5编辑输入波形输入激励信号.用鼠标左键单击图所示窗口的时钟信号名CLK,使之变成蓝色条,再单击左列的时钟设置键,即弹出如图时钟脉冲周期及占空比设置窗口,在图中的上部份是已经设置好了的仿真时间区域为10μs,这里不需要改变,下部分CLK的时钟周期设置为50ns;Clock窗口中的Duty cycle是占空比,默认为50,即50%占空比.然后再分别设置EN和RST的电平,RST为复位端,EN为使能端.最后设置好的激励信号波形如图所示.图时钟脉冲周期及占空比设置窗口图设置好的激励信号波形图6总线数据格式设置.单击如图所示的输出信号“CQ”左旁的“+”,则能展开此总线中的所有信号;如果双击此“+”号左旁的信号标记,将弹出对该信号数据格式设置的对话框如图所示.在该对话框的Radix栏有4种选择,这里可选择无符号十进制整数Unsigned Decimal表达方式.最后对波形文件再次存盘.图信号数据格式设置图7仿真方式的选择在QuarturⅡ软件中仿真方式有两种,功能仿真和时序仿真,此例选择功能仿真,方法是:在工具栏中选择processing→Simulater Tool即弹出如图仿真方式选择窗口,在窗口Simulater mode处是时序仿真和功能仿真选择窗口,此例选择功能仿真Functionl.选好后单击Generate Functional Simulater Nellist按钮,再单击确定按钮,最后再单击图中的start按钮,即完成仿真方式的确定.图仿真方式选择窗口8仿真器参数设置选择菜单Assignment→Settings,即弹出如图选择仿真参数设置窗口,此例中选择的参数如图所示.图选择仿真参数设置窗口9启动仿真器.现在所有设置进行完毕,在菜单Processing项下选择Start Simulation,也可以选择工具栏上的图表.8观察仿真结果.仿真波形文件“Simulation Report”通常会自动弹出如所示仿真结果.同时在图窗口中用鼠标右键单击选择Zoom→Fit in window即选择全时域显示.如果在启动仿真运行后,并没有出现仿真完成后的波形图,而是出现文字“Can’t open Simulation Report Window”,但报告仿真成功,则可自己打开波形报告,选择Processing→Simulation Report.图仿真波形输出结果应用RTL电路图观察器选择方法是Tools→Netlist Viewers在出现的下拉菜单中有四个选项,此例中选择第一项RTL Viewer,即HDL的RTL级图形观测器,选好后将自动弹出如图所示RTL电路.图 RTL电路对于较复杂的RTL电路,可利用功能过滤器Filter简化电路,即用右健单击该模块,在弹出的下拉菜单中选择Filter项的Sources或Destinations,由此产生相应的电路.。
QuartusII简单操作流程
Quartus II 简单操作流程操作流程分五个步骤:设计准备——>设计输入——>设计处理——>设计校验——>器件编程具体在每个步骤要注意的事项:1.编辑插入元器件,在工作区双击即可弹出“insert symbol”对话框。
原理图文件扩展名为.bdf,VHDL设计文件扩展名为.vhd,Verilog HDL设计文件扩展名为.v选择目标器件,assignment | device…,可在family处选择max7000s,然后选择具体芯片型号EPM7128SLC84-152.编译仿真文件扩展名为.vwf设置顶层实体,尤其是同一个工程文件夹里有两个及以上实体设计文件时,project | set as top-level entity。
若编译后有错误,可双击错误,返回设计文件的错误处,然后修改、保存,并再次编译。
但要注意,排错时务必从第一个错误开始排除,且排一个错后就编译一次,因为后面的错误也许是因为前面的错误引起的。
3.仿真首先设置系统参数:仿真时间edit | end time…;网格大小edit | grid sizes…,建议设置200ns。
插入节点:在name栏双击,弹出insert node or bus 对话框,点“node finder…”,在弹出的对话框中,filter栏选择“pins:all”,然后点list,左下方框里就会出现前面编译过的设计文件的输入输出端口,然后根据需要选择即可。
单个选择,全部选择。
设置节点参数:排在上面的是高位,依次是低位,一般情况下,用得最多。
若输入端是总线,可设置成二进制、八进制、十进制和十六进制,可在点设置参数时选择,也可双击仿真区中的value at栏对应节点修改。
当节点位数多时,可全部选中要折叠的端口后单击右键点“group”折叠,反之点“ungroup”打开。
当同一个工程文件夹里有两个及以上实体仿真文件时,要在settings…里面的simulator选项卡中的simulation input 文本框中选择需要仿真的文件。
QuartusⅡ设计流程
GW48-PK型EDA/SOC实验开发系统
GW48-PK系列实验开发系统使用说明
1.闲置不用GW48系列EDA系统时,必须关闭电源, 拔下电源插头;
2.在实验中,当选中某种模式后,要按一下右侧的复 位键,以使系统进入该结构模式工作;
3.换目标芯片时要特别注意,不要插反或插错,也不 要带电插拔,确信插对后才能开电源。其他接口都可 带电插拔。请特别注意,尽可能不要随意插拔适配板 及实验系统上的其他芯片;
GW48-PK系列实验电路结构图NO.0
GW48-PK系列实验电路结构图NO.1
GW48-PK系列实验电路结构图NO.2
GW48-PK系列实验电路结构图NO.3
GW48-PK系列实验电路结构图NO.4
GW48-PK系列实验电路结构图NO.5
GW48-PK系列实验电路结构图NO.6
GW48-PK系列实验电路结构图NO.7
GW48-PK系列实验开发系统使用说明
4.PC机的并行口工作模式设置在“EPP”模式; 5.对于GW48-CK/PK系统,主板左侧上开关默认向
下,关闭+/-12V电源;下开关默认向上,允许下载; 6.跳线座“SPS”默认向下短路(PIO48),右侧开
关默认拨向右(TO MCU); 7.对于GW48-CK/PK系统,左下角拨码开关除第四
QuartusⅡ设计流程
5、设计下载:
①打开编程窗和配置文件。首先将实验系统和并口通信线连 接好,打开电源。在菜单Tool中选择Programmer,于是 弹出如图所示的编程窗。在Mode栏中有4种编程模式可以 选 择 : JTAG , Passive Serial , Active Serial 和 InSocket。为了直接对FPGA进行配置,在编程窗的编程模式 Mode中选JTAG(默认),并选中打勾下载文件右侧的第一小 方框。注意要仔细核对下载文件路径与文件名。如果此文件 没有出现或有错,单击左侧“Add File”按钮,手动选择配 置文件f_adder.sof。
quartus ii2 基本设计流程
第2章Q u a r t u sⅡ应用向导Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。
此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。
Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。
目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。
Altera在Quartus II 中包含了许多诸如SignalTap II、ChipEditor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。
Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。
QuartusII基本设计流程verilogHDL
附2:功能仿真
1. Processing->Generate Functional Simulation Netlist
2. Assignments->settings:Simualtor settings:Simulation mode:Functional
3. Simulation input:确定矢量文件 4. Processing->start simulation
2 工程路径 工程名 顶层实体名 3
工程中使用的文件
4
选择目标器件
5
使用其他EDA工具
这里全为空
6 显示刚才的所有设置
• Processing->Start Compilation或单击此按 钮
• 有错修改,再编译直到编译成功。
〔1〕翻开波形编辑器File->New
〔2〕设置仿真时间Edit->End Time
键8
键7
键6
键5
键4
键3
键2
键1
实验电路结构图 NO.3
8
7
6
5
4
3
2
1
扬声器
SP EA KE R
PIO22-PIO16 接 g,f,e,d,c,b,a
PIO30-PIO24 接 g, f, e, d, c, b, a 七段
PIO38-PIO32 接 g, f, e, d, c, b, a
PIO46-PIO40 接 g, f, e, d, c, b, a
• s端口可连接到一个按键,键1
• 输出端y接SPEAKER
• 在发给大家的资料中,实验电路构造图NO.5 中找出图中对应的信号名:键1对应PIO0
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第2章 Quartus Ⅱ应用向导Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。
此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。
Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。
目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。
Altera 在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。
Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。
Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。
该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。
Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。
改进了软件的LogicLo ck模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。
支持MAX7000/MAX3000等乘积项器件基本设计流程本节以十进制计数器为例,通过实现流程,详细介绍Quartus II的重要功能和使用方法建立工作库文件和编辑设计文件任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。
此文件夹将被EDA软件默认为工作库(Work Library)。
一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。
在建立了文件夹后就可以将设计文件通过Quartus?II的文本编辑器编辑并存盘。
(注意不要将文件夹设在计算机已有的安装目录中,更不要将工程文件直接放在安装目录中)(1)新建一个文件夹。
这里假设本项设计的文件夹取名为jsq,在E盘中,路径为E:\jsq 。
注意,文件夹名不能用中文,也最好不要用数字。
(2)输入源程序。
打开计算机桌面上图表,选择菜单File→New,出现如图所示见面,在New窗口Device Design Files中选择编译文件的语言类型,这里选择VHDL File,选好后用鼠标左键单击OK按钮,出现源程序输入窗口如图所示(以十进制为例)。
图选择编译文件的语言类型图源程序输入窗口十进制计数器源程序如下:LIBRARY IEEE;USE CNT10 ISPORT (CLK,RST,EN : IN STD_LOGIC;CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC );END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK, RST, EN)VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器异步复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN = '1' THEN --检测是否允许计数(同步使能)IF CQI < 9 THEN CQI := CQI + 1; --允许计数, 检测是否小于9ELSE CQI := (OTHERS =>'0'); --大于9,计数值清零END IF;END IF;END IF;IF CQI = 9 THEN COUT <= '1'; --计数大于9,输出进位信号ELSE COUT <= '0';END IF;CQ <= CQI; --将计数值向端口输出END PROCESS;END behav;(3)文件存盘选择File→Save As命令,找到已建立的文件夹E:\ jsq,存盘文件名应与实体的名字一致,即CNT10,其界面窗口如图所示。
图文件存盘单击“否(N)”按钮,则按以下方法进入创建工程流程。
创建工程使用New Project Wizard可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA工具,以及目标器件系列和具体器件等。
(1)打开建立新工程管理窗选择File→New Preject Wizard工具选项创建设计工程命令,即弹出“工程设置”对话框如图所示,单击对话框最上第一栏右侧的“…”按钮,找到文件夹E:\jsq,选种已存盘的文件CNT10,再单击打开按钮,既出现如图所示的设置情况。
对话框中第一行表示工程所在的工作库文件夹,第二行表示此项工程的工程名,第三行表示顶层文件的实体名。
图利用New Preject Wizard创建工程CNT10(2)将设计文件加入工程中单击图中下方的Next按钮,出现如图所示的对话框,在弹出的对话框中单击File name栏的按钮,将与工程相关的所有VHDL文件加入此工程,加入完成后单击Next按钮。
此工程加入的方法有两种:第一种是单击Add All按钮,将设定的工程目录中的所有VHDL文件加入到工程文件栏中;第二种方法是单击“Add …”按钮,从工程目录中选出相关的VHDL文件。
图将所有的工程VHDL文件加入此工程图(3)选择仿真器、综合器和目标器件的类型单击图中Next按钮,即弹出如图所示的仿真器和综合器及目标器件对话框。
其仿真器和综合器及目标器件设置如图所示。
首先在 Famil 栏选芯片系列,在此选Cyclone系列,在有效器件列表中选择专用器件,分别选择封装形式为PQFP,引脚输出240,器件速度级别为8,选择此系列的具体芯片是EP1C6Q240C8, 这里EP1C6表示Cyclone系列及此器件的规模。
设计完成后单击Finish按钮。
图仿真器和综合器类型设置图(4)工具设置.单击图中的Next按钮后,弹出图所示工具设置窗口,此窗口有3项选择.EDA design entry/synthesis用于选择输入的HDL类型和综合工具.EDA simulation用于选择仿真工具.EDA timing analysis tool用于选择时序分析工具,这是除Cyclone自含的所有设计工具以外的外加的工具,因此,如果都不做选择,表示选择Cyclone自含的所有工具.在此例中这3项都不做选择,单击Next后即弹出图所示”工程设置统计”窗口.最后单击图中Finish,即已设定好此工程,并出现CNT10的工程管理窗口.Quartus Ⅱ将工程信息存储在工程配置文件中,它包含有关Quartus Ⅱ工程的所有信息,包括设计文件、波形文件、Signa1Tap Ⅱ文件、内存初始化文件等,以及构成工程的编译器、仿真器和软件构建设置。
建立工程后,可以使用工具栏的Project→ADD/Remove Files Project页在工程中添加和删除、设计其它文件,在执行Quartus Ⅱ的Analysis &Synthesis期间,Quartus Ⅱ将按ADD/Remove Files Project页中显示的顺序处理文件。
图工具设置窗口图工程设置统计”窗口编译前设置选择FPGA目标芯片。
目标芯片的选择也可以这样来实现:选择Assignmemts 菜单中的settings项,可以弹出图对话框。
选择配置器件的工作方式。
单击图中的Device & Pin Options按钮,进入选择窗,这将弹出Device & Pin Options窗口,其对话框如图所示。
在Configuration 选项页,选择配置器件为EPCS4,其配置模式可选择Active Serial。
这种方式只对专用的Flash技术的配置器件(专用于Cyclone系列FPGA的EPCS4和EPCS1等)进行编程。
注意,PC机对FPGA的直接配置方式都是JTAG方式,而对于FPGA进行所谓“掉电保护式”编程通常有两种:主动串行模式(AS Mode)和被动串行模式(PS Mode)。
对EPCS1/EPCS4的编程必须用AS Mode。
图选择FPGA目标芯片图选择配置器件工作方式图全程编译Quartus II编译器是由一系列处理模块构成的,这些模块负责对设计项目的检错,逻辑综合、结构综合、输出结果的编辑配置,以及时序分析。
在这一过程中,将设计项目适配到FPGA/CPLD目标器中,同时产生多种用途的输出文件,如功能和时序信息文件、器件编程的目标文件等。
编译器首先检查出工程设计文件中可能错误信息,供设计者排除。
然后产生一个结构化的以网表文件表达的电路原理图文件。
编译前首先选择Processing菜单的Start Compilation项,启动全程编译。
这里所谓的全程编译(Compilation)包括以上提到的Quartus II对设计输入的多项处理操作,其中包括排错、数据网表文件提取、逻辑综合、适配、装配文件(仿真文件与编程配置文件)生成,以及基于目标器件的工程时序分析等。
编译过程中要注意工程管理窗下方的“Processing”栏中的编译信息。