QuartusII安装与使用

合集下载

QuartusII 安装及授权

QuartusII 安装及授权

1-2安装信息界面
2、在图1-2中有四个安装选项,第一项表示安装QuartusII和其它应 用软件(Intall QuartusII and Related software);第二项表示安装 Programmer软件(Intall Stand-Alone Programmer);第三项表 示安装授权管理服务器(Intall FLEXLM Server)第四项表示打开 QuartusII的自述文件。我们首先选取第一项安装QuartusII软件, 出现如图1-3和图1-4所示安装信息界面。
二、电源要求
USB-Blaster下载电缆需要以下两组电源: USB方向需要5.0V电源 下载接口端需要与目标系统板工作电平一致的电源(1.5V、 1.8V、2.5V、3.3V或5.0V等)
三、软件要求
USB-Blaster下载电缆仅能在Windows2000、 Windows XP和RedHat Linux操作系统中使用,需要安装Quartus II 4.0或 更高版本的开发下载软件。同时USB-Blaster下载电缆还支持下述 软件:
1-9
安装类型选择界面
8、如果用户的安装硬盘空间足够大,建议选取完全安装模式进行 安装。选取后,点击NEXT进入程序组名称设定界面如图1-10所示。
1-10
程序组名称设定
9、在图1-10中用户可以在Program Folder项目下输入 QuartusII所在程序组名称。输入完后,点击NEXT完成所有的安 装设定,显示前面我们所设定的信息界面如图1-11所示。
1-18
License文件
B、用图1-17中的NIC号码替换掉文件中所有的“HOSTID=”后的 相应号码。如我们的NIC号码为00023f060f27,授权文件的 HOSTID=112233445566。则用00023f060f27替换所有的 112233445566。在编辑菜单中选取替换命令,在查找内容框内输入 “112233445566”在替换为框内输入“00023f060f27”。点击全部替 换,完成HOSTID号的替换,如下图3-19所示:

quartus软件的安装及使用手册

quartus软件的安装及使用手册

第一章 概述Altera公司的QuartusII软件提供了可编程片上系统(SOPC)设计的一个综合开发环境,是进行SOPC设计的基础。

QuartusII集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证与仿真。

QuartusII设计软件根据设计者需要提供了一个完整的多平台开发环境,它包含整个FPGA和CPLD设计阶段的解决方案。

图1-1说明了QuartusII软件的开发流程。

图1-1 QuartusII软件开发流程此外,QuartusII软件允许用户在设计流程的每个阶段使用QuartusII图形用户界面、EDA工具界面或命令行界面。

在整个设计流程中可以使用这些界面中的一个,也可以在不同的设计阶段使用不同的界面。

Altera技术领先的QuartusII设计软件配合一系统可供客户选择的IP核,可使设计人员在开发和推出FPGA、CPLD和结构化的ASIC设计的同时,获得无与伦比的设计性能、一流的易用性以及最短的市场推出时间。

这是设计人员首次将FPGA移植到结构化的ASIC中,能够对移植后的性能和功耗进行准确的估算。

QuartusII软件支持VHDL和Verilog硬件描述语言(HDL)的设计输入、基于图形的设计输入方式以及集成系统设计工具。

QuartusII软件可以将设计、综合、布局和布线以及系统的验证全部整合到一个无缝的环境之中。

其中还包括第三方EDA工具的接口如MATLAB等。

QuartusII软件包括SOPC Builder工具。

SOPC Builder针对可编程片上系统(SOPC)的各种应用自动完成IP核(包括嵌入式处理器、协处理器、外设、数字信号处理器、存储器和用户设定的逻辑)的添加、参数设置和连接进行操作。

SOPC Builder节约了原先系统集成工作中所需要大量时间,使设计人员能够在同几分钟内将概念转化成真正可运行的系统。

QuartusII与MAXPLUSII的设计方式基本一致。

QuartusII软件使用

QuartusII软件使用

3.3.2 编译设计文件
• QuartusⅡ编译器旳主要任务是对设计项目进行检验并完毕逻辑综合,
同步将项目最终设计成果生成器件旳下载文件。编译开始前,能够先 对工程旳参数进行设置。
• QuartusⅡ软件中旳编译类型有全编译和分步编译两种。 • 选择QuartusⅡ主窗口Process菜单下Start Compilation命令,或者在
• 安装Altera旳硬件驱动程序。驱动程序存储在QuartusⅡ安
装目录下旳…quartus\drivers文件夹中。驱动安装后才干 将设计成果经过计算机旳通信接口编程下载到目旳芯片中。
3.2 QuartusⅡ软件旳顾客界面
开启QuartusⅡ软件后默认旳界面主要由标题栏、菜单栏、 工具栏、资源管理窗口、编译状态显示窗口、信息显示窗口和 工程工作区等部分构成。
2、适配(Fitter) :在适配过程中,完毕设计逻辑器件中 旳布局布线、选择合适旳内部互连途径、引脚分配、逻辑元件 分配等,相应旳菜单命令是QuartusⅡ主窗口Process菜单下 Start\Start Fitter ;(注:两种编译方式引脚分配有所区别 )
编译设计文件(续2)
3、编程(Assembler) :产生多种形式旳器件编程映像文件 , 经过软件下载到目旳器件当中去,应旳菜单命令是QuartusⅡ主窗口 Process菜单下Start\Start Assembler ;
仿真设计文件(续5)
3、编辑输入信号
编辑输入信号是指在波形编辑器中指定输入节点旳逻辑电平变化, 编辑输入节点旳波形。
在仿真编辑窗口旳工具栏中列出了多种功能选择按钮,主要用于绘 制、编辑波形,给输入信号赋值。 详细功能如下:
:在波形文件中添加注释; :修改信号旳波形值,把选定区域旳波形更改成原值旳相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名,能够快捷找到待观察信号; :将某个波形替代为另一种波形; :给选定信号赋原值旳反值; :输入任意固定旳值; :输入随机值

QuartusII软件使用及设计流程

QuartusII软件使用及设计流程

时序优化
时序分析
在Quartus II中进行时序分析,确保设计满足时序要求,找出关键 路径并优化。
延迟调整
通过调整逻辑单元的时序参数,减小关键路径的延迟,提高时钟频 率。
布局优化
合理安排逻辑单元的位置,减小信号传输延迟,提高时序性能。
面积优化
优化算法
采用高效的算法和优化策略,减小设计规模, 降低面积成本。
低功耗硬件选择
选择低功耗硬件器件和IP核,从硬件层面降低功 耗。
06
Quartus II实际应用案 例
数字钟设计案例
总结词
数字钟设实现数字钟的原理图 设计和编程。
详细描述
首先,在Quartus II软件中创建一个新的工程,选择合适的FPGA芯片型号。然后,使 用原理图输入方式设计数字钟电路,包括分频器、计数器、译码器等模块。接着,进行 仿真测试以确保设计正确。最后,将设计文件下载到FPGA芯片中,完成数字钟的硬件
保存配置
完成配置后,保存配置文件以便以后使用。
许可证激活与验证
获取许可证文件
从Altera官网或授权合作伙伴处获取Quartus II软件 的许可证文件。
激活许可证
运行许可证激活程序,输入许可证文件中的激活码进 行激活。
验证许可证
启动Quartus II软件,验证许可证是否有效,确保软 件正常使用。
完成串口通信的硬件实现。
THANKS FOR WATCHING
感谢您的观看
Quartus II软件中提供了大量的IP核,用户可以直接调用这些IP核进行设计, 避免了重复造轮子,提高了设计效率。
IP核定制
对于一些特殊需求,用户可以通过定制IP核的方式实现。Quartus II软件提供了 IP核定制工具,用户可以根据需求对IP核进行修改和定制,以满足特定设计要求。

QuartusII的安装与使用教程

QuartusII的安装与使用教程

QuartusII的使用一、QuartusII的卸载由于电脑中已经安装好QuartusII的,所以先做卸载的步骤选择卸载掉全部开始卸载卸载完全了,点击OK关闭向导当然,卸载完后会提示是否重启,这里重启下,方便之后的重装二、QuartusII的安装首先列出笔记本的配置虽然配置不怎么高,但是用来运行QuartusII还是很流畅的,出于配置的原因,可能编译的时候会话的时间多一点,不过因为代码量不大,所以够用的电脑型号东芝Satellite L700 笔记本电脑操作系统Windows 7 旗舰版64位SP1 ( DirectX 11 )处理器英特尔第二代酷睿i3-2330M @ 2.20GHz 双核主板英特尔Intel (英特尔HM65 芯片组)内存 4 GB ( 金士顿DDR3 1333MHz / 三星DDR3 1333MHz )主硬盘东芝MK5075GSX ( 500 GB / 5400 转/分)显卡Nvidia GeForce GT 525M ( 1 GB / 东芝)显示器惠普HWP288F HP 2310e(23.1 英寸)分辨率1920 x 1080 32 位真彩色光驱东芝-三星CDDVDW TS-L633F DVD刻录机声卡Conexant SmartAudio HD @ 英特尔6 Series Chipset 高保真音频网卡鈺硕AR8151 V2.0 Gigabit Ethernet Controller / 东芝安装过程:1、安装主程序,打开setup.bat批处理文件等待一会出现安装向导选择安装文件夹,我这里安装在D盘我这里选中的那一项把钩去掉,就安装Free版我没装MATLAB,所以跳过安装中安装完后安装插件包,这里是一些设备文件和QuartusII主文件安装在同一目录下全选插件也安装完成了最后就是软件的破解,破解的方法有很多,#首先安装Quartus II 13.0软件(默认是32/64-Bit一起安装):#用Quartus_II_13.0_x86破解器.exe破解C:\altera\13.0\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_13.0_x86破解器.exe后,直接点击“应用补丁”,如果出现“未找到该文件。

QuartusII安装使用方法

QuartusII安装使用方法

QuartusII安装与使用方法(以下所述已经在计算机上全部试验过了)1、安装完2张盘(disk1,disk2)之后,再安装补丁包quartusii_60_sp1_pc.exe。

然后将位于E:\software\Quartus6.0\disk2\disk2\crack6.0目录下的sys_cpt.dll文件拷贝到QII 6.0安装目录(C:\Altera\quratus60)下的win目录下;再把License.dat拷贝到QII 6.0安装目录(C:\Altera\quratus60)下。

2、记得修改QII 6.0安装目录(C:\Altera\quratus60)下的License.dat中的所有HOSTID(共2处)为你自己机器的HostID.。

3、自己机器的ID在网上邻居里找:右键点击网上邻居-属性-右键击本地连接-状态-支持-详细信息-在其中可以看到本机的全部网络信息(实际地址、IP地址、子网掩码、默认网关、DNS 服务器等信息)其中的实际地址就是本机的HOSTID。

QuartusII使用方法1、工程名:其扩展名为.qpf;2、工程中的逻辑图形编辑文件:其扩展名为.bdf;3、可以点击右键,再击insert,插入图形文件中的符号文件.sym例如dizh.sym,dizh.sym的生成方法是:在QuartusII工作界面中,点击主菜单中的MAX+PLUSII,在其下拉菜单中点击Text editor,进入文件编辑界面,或者直接点主菜单中的file,open,打开一个现成的逻辑设置文件如dizh.tdf,在其中编写或编辑,完成文本文件的编写,然后点file,save as,将其保存到本工程所在文件夹,但注意其名字不要与工程名相同,保存后,将光标置于dizh.tdf中,使dizh.tdf继续处于编辑状态,点file,create update,create symbol files for current file,即可生成dizh.sym符号文件。

QuartusII的安装与使用教程

QuartusII的安装与使用教程

QuartusII的安装与使用教程QuartusII的使用一、QuartusII的卸载由于电脑中已经安装好QuartusII的,所以先做卸载的步骤选择卸载掉全部开始卸载卸载完全了,点击OK关闭向导当然,卸载完后会提示是否重启,这里重启下,方便之后的重装二、QuartusII的安装首先列出笔记本的配置虽然配置不怎么高,但是用来运行QuartusII还是很流畅的,出于配置的原因,可能编译的时候会话的时间多一点,不过因为代码量不大,所以够用的电脑型号东芝Satellite L700 笔记本电脑操作系统Windows 7 旗舰版64位SP1 ( DirectX 11 )处理器英特尔第二代酷睿****************双核主板英特尔Intel (英特尔HM65 芯片组)内存 4 GB ( 金士顿DDR3 1333MHz / 三星DDR3 1333MHz ) 主硬盘东芝MK5075GSX ( 500 GB / 5400 转/分)显卡Nvidia GeForce GT 525M ( 1 GB / 东芝)显示器惠普HWP288F HP 2310e(23.1 英寸)分辨率1920 x 1080 32 位真彩色光驱东芝-三星CDDVDW TS-L633F DVD刻录机声卡Conexant SmartAudio HD @ 英特尔6 Series Chipset 高保真音频网卡鈺硕AR8151 V2.0 Gigabit Ethernet Controller / 东芝安装过程:1、安装主程序,打开setup.bat批处理文件等待一会出现安装向导选择安装文件夹,我这里安装在D盘我这里选中的那一项把钩去掉,就安装Free版我没装MATLAB,所以跳过安装中安装完后安装插件包,这里是一些设备文件和QuartusII主文件安装在同一目录下全选插件也安装完成了最后就是软件的破解,破解的方法有很多,#首先安装Quartus II 13.0软件(默认是32/64-Bit一起安装):#用Quartus_II_13.0_x86破解器.exe破解C:\altera\13.0\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_13.0_x86破解器.exe后,直接点击“应用补丁”,如果出现“未找到该文件。

Quartus II 的安装

Quartus II 的安装

Quartus II 的安装及设置一、安装1、执行…\Quartus7.2\setup.exe,出现欢迎窗口,单击Next按钮,出现图1‐1所示对话框。

图1‐12、选择第1项“I accept the terms of the license agreement”,然后单击“Next”按钮,出现图1‐2所示对话框。

图1‐23、任意输入用户名和公司名,然后单击“Next”按钮,出现图1‐3所示对话框。

图1‐34、单击“Browse”按钮,选择安装Quartus II的磁盘及目录(不要出现空格或汉字),然后单击“Next”按钮,出现图1‐4所示对话框。

图1‐45、对于初学者,最好默认设置,直接单击“Next”按钮,出现图1‐5所示对话框。

图1‐56、对于初学者,最好默认安装Complete,直接单击“Next”按钮,出现图1‐6所示对话框。

图1‐67、确认列出的设置没有问题后,直接单击“Next”按钮,出现图1‐7所示对话框。

安装过程正式开始。

图1‐78、安装一定时间之后,弹出图1‐8所示对话框(期间可能会出现防病毒软件弹出的窗口以及询问是否建立桌面快捷键的窗口,请选择允许执行。

是否建立快捷键随意)。

图1‐89、单击“Next”按钮,出现图1‐9所示对话框。

图1‐910、单击“Finish”按钮,退出安装。

二、设置1、执行…\Quartus7.2\Quartus_II_7.2_b151破解器.exe,出现图2‐1所示对话框。

图2‐12、在栏中键入sys_cpt.dll的完整路径后,单击“应用”按钮,完成破解。

3、第一次启动Quartus II。

执行“开始Æ所有程序ÆAlteraÆQuartus II 7.2ÆQuartus II 7.2 (32‐Bit),出现图2‐2所示对话框。

图2‐24、单击“OK”按钮,出现图2‐3所示对话框。

单击“否”按钮,关闭窗口。

图2‐35、选择ToolsÆlicense setup命令,出现图2‐4所示对话框。

QuartusII软件安装及使用讲义(PPT 37张)

QuartusII软件安装及使用讲义(PPT 37张)

工程所在文件夹 一定要更改路径!!!
工程名称 顶层实体名称
图4-3 利用“New Preject Wizard”创建工程cnt10
QuartusII设计流程
一、创建工程
4.添加设计文件:将设计文件加入工程中。单击“Next”,进入添加文件的界面,如图 所示。如果有已经建立好的VHDL或者原理图等文件可以在File name中选择路径然 后添加,或者选择Add All添加所有可以添加的设计文件(.VHDL ,.Verilog原理图
File—>Save as
QuartusII设计流程
四、时序仿真
• 4.向波形编辑器拖入信号节点选择命令
QuartusII设计流程
• 四、时序仿真
• 4.向波形编辑器拖入信号节点
QuartusII设计流程
• 四、时序仿真
• 5. 编辑输入波形
QuartusII设计流程
• 四、时序仿真
• 6.总线数据格式设置
1. 2. 引脚锁定 (4)分配引脚
QuartusII设计流程
• 六、引脚锁定和下载
2. 全局编译
QuartusII设计流程
• 七、编程下载
1. 打开编辑窗口
QuartusII设计流程
• 七、编程下载
2. 配置文件
QuartusII设计流程
• 七、编程下载
3. 设置编程器
QuartusII设计流程
QuartusII设计流程
一、创建工程
5. 工具设置:EDA Tool Settings。Quartus II支持外部工具,可通过选中来指定工具 的路径。 如果都不作选择,表示仅选择Quartus II自含的所有设计工具。
QuartusII设计流程

Quartus II 的安装说明

Quartus II 的安装说明

Quartus II 的安装
1.打开quartus_ii_60_pc\disk1\install.
2.点击界面第一项默认值.
3.当出现四个选择项,仅选第一个quartus_ii.
4.当出现要求安装disk2时,通过浏览选择quartus_ii_60_pc\disk2\quartus.
5.使用破解器. 在licenseforq&n文件夹里,打开Quartus_II_
6.0_B178_dll.exe破解
C:\altera\quartus60\win\下的sys_cpt.dll文件(运行Quartus_II_6.0_B178_dll破解器.exe 后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\quartus60\win\下,选中sys_cpt.dll后再点击“应用”。

很多网友上来就点击“应用”,结果并没有破解这个软件)。

注意虽然提示破解成功,还必须修改注册码才能正常使用.
6.修改注册码. 这时你会发现界面上多了一个quartus II的图标.双击图标, 选择提示最后
一个. 选择菜单tools/license setup你的老网卡号就是你的注册码,把licenseforq&n文件夹里quartusII6full.dat中HOSTID的数字全部换成你的老网卡号,再在tools/license setup菜单下在license fild中加入quartusII6full.dat的路径. 注意license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。

最后恭喜你终于装完了.
7.仅限于学习,不要用于商业目的!。

QuartusII使用说明

QuartusII使用说明

Quartus II 9.0使用说明1.安装共三项:1.DE0文件夹:开发平台附带资料2.FPGA管脚图:平台说明文档,含各种管脚的定义3.免费版安装文件打开安装文件开始安装:此处随便填。

开始安装:-------------------------------------------------------------------创建项目创建好的项目为空的,需要另外添加文件添加原理图效果如下:(默认标号1、2、3、、、、、、)若元件很多,可以分别添加:拖动引脚使其与元件相连:双击可以修改引脚名称导线:需要拖动才能生成导线。

其它元件的插入类似大家可以自己试试。

当所有引脚都完成定义,且没有断开的导线时,可以编译通过(可能会提示保存点击“是”就行了):下图因为含有断开的导线而不能通过编译:编译通过后会在左下角显示如下信息:警告一般可以忽略。

仿真测试我们完成了电路的设计连接,接下来要测试是否符合预期:创建波形文件:用鼠标滑轮可以实现同样的效果接下来添加引脚:注意:编辑波形时软件容易崩,,,建议先保存。

根据仿真结果判断电路是否符合要求。

在实验平台进行实验打开说明文档:用ctrl + F可以进行查找引脚定义:例如LEDG(LED灯)的引脚定义:转到下图:再往下的表格接着往下:这些引脚怎么用呢?往下看:同样的步骤插入引脚:定义好后重新编译一下。

连接实验平台选完之后点击Close之后的工作就是在实验平台上完成逻辑验证等实验,此例具体内容就是控制两个开关的打开和闭合,观察LEDG[0]的亮灭,验证与非门逻辑。

PS:大家抓紧学习,以后的实验基本上都会用,同学们先在软件上将原理图设计好,再让老师检查无误后,老师配发USB数据线连接实验平台,然后才能做实验。

所以大家争取在下次上课前学会,有困难的可以先理解前边的仿真部分,连接实验平台可以下次课上尝试。

QuartusII软件使用说明

QuartusII软件使用说明

QuartusII软件使用说明Quartus II软件使用说明1.介绍Quartus II是一款由Intel开发的集成电路设计软件,主要用于数字逻辑电路的设计和开发。

本文将详细介绍Quartus II软件的安装、基本功能以及常用的设计流程。

2.安装2.1 硬件要求确定您的计算机是否符合Quartus II的硬件要求,包括处理器、内存和硬盘空间。

2.2 安装程序从Intel官方网站Quartus II的安装程序,并按照指引执行安装步骤。

2.3 许可证文件在安装过程中,您需要提供许可证文件以完成软件的激活。

3.Quartus II界面3.1 工程导航器工程导航器是Quartus II的主界面,您可以在此查看和管理当前项目下的所有文件和文件夹。

3.2 编辑器Quartus II提供了多种编辑器,包括原理图编辑器、文本编辑器和波形编辑器等。

您可以根据需要选择适合的编辑器进行设计和编码。

4.基本功能4.1 创建新工程在工程导航器中,选择“新建”并指定工程名称和存储位置,然后选择设计类型和顶层设计文件。

4.2 添加文件通过“添加文件”功能可以将需要的文件添加到工程中,包括原理图文件、VHDL文件和Verilog文件等。

4.3 综合与分析在设计过程中,需要进行综合和分析以验证电路功能和逻辑正确性。

4.4 时序约束通过时序约束可以设置电路的时钟频率、延迟和时序要求等。

4.5 编译报告编译报告可以查看设计的状态和一些警告或错误信息。

5.设计流程5.1 设计规划在设计之前,需要进行设计规划,包括确定设计目标、功能分析和资源规划等。

5.2 电路设计按照设计规划进行电路设计,包括原理图设计、RTL设计和模块设计等。

5.3 综合与优化对设计进行综合和优化,以达到性能和资源的平衡。

5.4 约束设置与时序分析设置时序约束并进行时序分析,以保证电路满足时序要求。

5.5 布局与布线对设计进行物理布局和布线,以优化电路的布局及信号传输路径。

EDA-实验--QUARTUSII软件安装

EDA-实验--QUARTUSII软件安装

EDA-实验--QUARTUSII软件安装实验一QUARTUSII软件安装,基本界面设计入门一,实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。

提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入,快速处理的器件编程。

通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习QUARTUS的图形编辑器绘制电路图。

二,实验内容:1, 安装QUARTUSII软件;2, 熟悉QUARTUSII基本界面及操作;3, 通过一个4位全加器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。

三,实验仪器:1,PC机一台;2,QUARTUSII 软件;3,EDA实验箱。

四,实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。

输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位制CO。

半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。

全加器有3 位输入,分别是加数A、B和一个进位Ci。

将这3个数相加,得出本位和数(全加和数)D和进位数CO、全加器由两个半加器和一个或门组合。

五,实验步骤:安装QUARTUSII软件;因为实验时我的机器已经有QUARTUSII软件。

所有我并没有进行安装软件的操作。

设计半加器:在进行半加器模块逻辑设计时,采用由上到下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusllGraphic Editor进行设计输入的步骤如下:1、为本项目设计建立文件夹假设本项目的文件夹取名为adder路径为d:\adder2、输入设计项目和存盘原理编译器输入流程如下:(1) 打开Quatus 2,选菜单file--new,在弹出的new对话框中选择DeviceDesig n Files页的原理图文件编译器输入项Block Diagram/Schematic File如下图:。

EDA软件QuartusII安装步骤及补丁使用方法

EDA软件QuartusII安装步骤及补丁使用方法

QuartusII6.0安装手册一)安装软件1、选择quartusii_60_pc/disk1文件夹,运行安装向导: Install.exe;2、点击Install QuartusII and Related Software按钮, 进入欢迎界面,点击Next进入安装QuartusII软件的安装向导界面。

在这个安装向导界面中,选中QuartusII 6.0,其它项目不选,点击Next按钮,进入下一步。

3、在License Agreement对话框上选中I accept the terms of the License agreement选项,点击Next按钮,进入下一步。

4、在Custom information对话框上,输入用户信息,User Name:欣欣工作室,Company Name:, 点击Next按钮,进入下一步。

(注意姓名和公司可任填)5、在Choose Destination Location对话框上选择安装路径,也可使用默认路径。

确保硬盘上有足够的空间,点击Next按钮进入下一步。

6、在Setup Type对话框上选中Complete选项,点击Next按钮,进入下一步。

7、确认安装设置,点击Next按钮,安装向导开始复相关文件。

8、当向导提示需要disk2时,输入disk2\quartus的完整路径,点击OK按钮,继续安装。

9、在QuartusII Talkback对话框上,点击确定按钮继续安装。

10、在Installshield Wizard Complete对话框上,去掉Launch QuartusII 6.0选项,点击Finish按钮,完成安装。

11、回到安装向导主界面,点击Install Stand-Alone Programmer按钮,启动Programmer 和SignalTapII的安装向导。

在欢迎对话框上点击Next按钮,进入下一步。

12、在****** Agreement对话框上选中Accept the terms of the ****** agreement选项,点击Next按钮,进入下一步。

QuartusII安装以及工程建立指南

QuartusII安装以及工程建立指南

Q11版本请选择11.1_173_acds_devices.rar中的setup.exe 。
13
14、开始安装,
QuartusII12.0 安装不使用指南
14
15、直接“Next”,
QuartusII12.0 安装不使用指南
15
QuartusII12.0 安装不使用指南
16、勾选“I agree…”,点击“Next”,
56
QuartusII12.0 安装不使用指南
24、在 Quartus II 主界面,点击“Start Comlication“迚行编译,编译完成后 直接点击“OK”,如下图所示,
57
25、编译完成后如下图所示,
QuartusII12.0 安装不使用指南
58
QuartusII12.0 安装不使用指南
26
QuartusII12.0 安装不使用指南
32、复制“NetworkInterfaceCard ID“中的内容后,点击“OK”,
27
QuartusII12.0 安装不使用指南
33、在安装路径下的“bin”文件里找到“license.dat“, 并用记事本方式打开,
Hale Waihona Puke 28QuartusII12.0 安装不使用指南
15、综合分析后会出现下图,直接点击“保存”,
16、综合分析完成后出现下图,点击“OK”,如下图所示,
48
QuartusII12.0 安装不使用指南
17、选择“Assignments->pin planer“,迚行分配引脚,如下图所示,
49
QuartusII12.0 安装不使用指南
50
QuartusII12.0 安装不使用指南

【精选】Quartus安装、配置和使用方法

【精选】Quartus安装、配置和使用方法

Quartus Ⅱ安装、配置和使用方法实验教学目的:学会Quartus Ⅱ的基本使用流程学会Quartus Ⅱ的基本使用方法学会Quartus Ⅱ的基本仿真方法1.安装过程和一般的软件安装相同,安装过程中指定安装路径时用英文,且安装路径中最好不要出现空格。

根据需要可裁剪、定制软件,尤其是磁盘空间有限、为节约磁盘空间时,可选择安装最核心最重要的部分,对不重要的部分可不予安装。

基本过程如下:①插入Quartus Ⅱ安装光盘后,安装程序会自动运行,屏幕上出现安装界面。

用户也可以通过手动运行光盘中的安装程序Install.exe,启动安装界面②选择Install Quartus ⅡSoftware ,在安装向导完成之后,按照安装程序的提示一步步地完成安装操作。

③第一次运行Quartus Ⅱ时,将出现Quartus Ⅱ管理窗口,同时会在管理窗口上出现提示信息,提示用户设置授权文件。

2.配置licenseEDA工具的license一般与网卡号关联。

未建立license就使用软件时,会弹出如图1.2所示的对话框。

没有有效的license文件,无法使用该软件或者只能使用该软件的部分功能。

选中对话框中第三项来指定有效的license文件(安装软件中一般会提供一个以.dat为扩展名的license文件)。

图1.2 选择指定有效license 文件的选项在Tools 菜单下选择License Setup ,即进入如图1.3所示的界面。

可看到license 文件所处路径以及系统的网卡号信息。

复制网卡号,单击OK ,找到license 文件,用记事本打开,根据license 的修改说明把其中的HOSTID 全部用本机网卡号替换,然后保存。

图1.3 建立license 的界面完成上述License Setup 后,再次进入License Setup 界面,可看到如图1.4所示的Licensed AMPP/MegaCore functions 一栏显示了提供商、产品等信息,这说明已成功建立License 。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第一章 Quartus II安装说明1、<光盘路径>: quartusii7\quartus\disk1中用鼠标左键双击setup.exe文件。

启动界面如图1.1所示图1.1 QuartusII安装界面2、点击Next后,弹出说明对话框,如图1.2所示图1.2说明对话框3、继续点击Next按钮后,弹出如图1.3所示的对话框,在上面空白处输入用户名,下面的输入公司的名字,这个可以任意填写。

写好后Next按钮变成可选状态。

图1.3用户信息输入对话框4、按下Next按钮后,进入安装路径选择界面,如图1.4所示。

图1.4安装路径选择对话框选择好安装路径之后,点击Next按钮之后会看见相关的安装信息,一直点击Next按钮直到进入安装过程。

安装之后会弹出一个结束对话框,点击finish按钮,完成安装。

5、Quartus II软件的授权本学习板提供的Quartus II的license为大家学习提供方便。

安装过程是将<光盘路径>:quartusii7\Altera-Quartus_V7_Win_Crack中的license.dat文件复制到安装目录下,具体操作可以看文件夹中的Readme.txt。

之后打开软件后在点击Tools→license setup,弹出对话框如图1.5所示图1.5Quartus II的授权文件加载过程完成启动后Quartus II的系统主界面如图1.6所示。

主界面由3个子窗口组成,分别是设计输入编辑窗口(完成设计描述源文件的编辑)、消息窗口(编译/仿真过程的消息说明)和工程浏览窗口(快速浏览工程的各描述文件)。

图1.6Quartus II的系统主界面第二章 Quartus II的使用1. 设计输入Quartus II支持多种设计输入方法,即允许用户使用多种方法描述设计,常用的设计输入方式有:原理图输入,文本输入和第三方EDA工具输入。

(1)创建新工程Quartus II有工程的概念,所谓工程就是当前设计的描述、设置、数据以及输出的集合,Quartus II会将这些存储在不同类型的文件中并置于同一个文件夹下。

所以在设计之前,必需创建工程,具体步骤如下:i.打开Quartus II软件,在主界面中执行File New Project Wizard…命令,在弹出的对话框中指定设计工程的文件存放目录、工程名以及最顶层的设计实体名,如图 2.1所示。

z最上面的输入框:在此输入框输入指定工程文件存放的录。

本示例中,指定的工程目录为“D:\work\vhdl\div”。

z中间的输入框:在此对话框中输入新建工程的名字。

z最下面的输入框:在此输入框中输入该设计工程最顶层的设计实体名。

说明:一般输入工程名和设计顶层的实体名默认是相同。

图2.1设置工程名称及顶层实体名称图2.2添加设计文件ii.单击【Next】按钮,弹出如图2.2所示的对话框。

许多设计工程除了最顶层的设计文件之外,还会包含一些额外的电路模块描述文件或者定制的功能库。

设计者可以通过如图2.2所示的对话框将这些文件或者功能库添加到设计工程中。

为了方便工程设计文件管理,建议将所有的设计文件集中到工程目录中。

iii.单击【Next】按钮,弹出如图2.3所示的对话框。

系统会要求设计者指定工程所使用的芯片系列,可以选择自动选择芯片型号或者由设计者指定。

建议选择“Specific device selected in ‘Available devices’ list”选项,这样可以手动设置芯片参数,这个一般是硬件设计好之后,若对参数不熟悉一定要先参考Quartus II的帮助文件,弄清封装,管脚类型和芯片速度这3个设置项中的每个参数的具体含义。

如果选项自动选择芯片,对于绝大多数的应用只采用的默认设置即可,系统会根据的情况自动进行优化。

第三方综合工具第三方仿真工具第三方时序分析工具图2.3选择目标芯片图2.4设置第三方EDA工具iv.点击【Next】按钮进入EDA工具设置页面,如图2.4所示,用以第三方的综合器、仿真器和时序分析工具。

默认值为不使用第三方EDA工具,在本工程中保持默认不变,直接点击【Next】按钮继续v.创建新工程向导的最后一步,Quartus II会给出新建工程的摘要信息,点击【Finish】按钮即可完成向导。

图2.5新建工程摘要再完成新建工程后,所有工程设置可以通过Assignments Settings…菜单命令或者Ctrl+Shift+E快捷启动设置对话框进行修改。

2. 文本输入法执行菜单File New…菜单命令打开新建对话框,如图2.6所示。

选中Device Design Files 选项卡中的VHDL File后点击【OK】按钮新建一个空白的VHDL文档。

Quartus II会自动将起命名为Vhdl1.vhd,这时执行File Save命令或者使用Ctrl+S快捷键将其保存,保存对话框如图2.7所示图2.6新建对话框图2.7保存设计文件Quartus II会自动保存位置定位到工程目录并且默认命名为<顶层实体名>.vh。

这里只有一个实体,故counter就是顶层。

保持默认值不变,直接点击【保存】按钮保存文件。

新建的VHDL文档中输入,分频器的VHDL菜单如下:Entity div isgeneric(duty:integer:=5);port(clk : in std_logic;--时钟输入q : out std_logic--分频后输出);end div;Architecture div10 of div isconstant period : integer:=10;signal count : integer range 0 to period-1;beginprocess(clk)beginif rising_edge(clk) then --时钟上升延驱动if count<duty thenq<='0';count<=count+1;thenelsifcount<period-1q<='1';count<=count+1;elsecount<=0;endif;if;endend process;end div10;如果对VHDL语言语法结构不太了解的时候,可以通过Quartus II提供的自动完成格式工具的插入模版。

用户可以在Quartus II界面的Text Editor工具栏中找到对应的按钮,如图2.8所示。

图2.8插入模版完成VHDL语言输入之后,执行Process Analysis Current File菜单命令,启动了Quartus II的语法检查功能,对当前文件进行分析。

如果在Message窗口中出现Error,在修改之后再次执行分析,直到没有错误提示为止。

3. 分配引脚分配引脚的目的是为设计指定输入输出引脚在目标芯片上的位置。

分配引脚的方法有许多种,这里介绍的Assignment Editor工具是一种比较常用的引脚分配方法。

有些书籍和资料将分配引脚放在了编译之后进行,主要考虑到引脚需要占用片内的IO 资源,引脚分配的不同会影响Quartus II的布局布线结果,也就会影响到设计的时序仿真。

而且Quartus II支持预先的I/O分配和确认操作(无论顶层的模块是否完成),这样可以在整个设计流程种尽早开始印制电路板(PCB)的布线设计工作。

同样,设计人员可以在任何时间对引脚的分配进行修改和确认,无需再进行一次编译。

在进行完设计分析以及语法检查后,可以执行Assignments Assignment Editor,点击窗口上面的Pin按钮进行引脚分配,左侧的工具栏中的Show All Known Pin Name按钮可以列出所有已知的引脚名称,所得界面如图2.9所示。

图2.9Assignment Editor对话框4. 编译在标准PLD设计流程中,编译(Compilation)包括综合(Synthesis)和布局布线(Place & Route)两个阶段。

在综合阶段,综合器将HDL描述翻译成基本的逻辑门、存储器、触发器等基本逻辑单元的连接关系。

在这个过程中,综合器会根据用户的约束与本身的算法优化生成的网表,目的是让生成的设计拥有更快的速度并占用最好的资源。

完成综合后需要根据目标器件进行布局布线,利用芯片内的可用逻辑资源最终将设计在物理层次上实现。

在Quartus II界面执行菜单Processing Start Compilation或者使用Ctrl+L快捷键启动Quartus II的全编译。

可以在状态窗口上看到当前编译的运行状态,Quartus II将编译划分为Analysis & Synthesis、Fitters、Assembler和Timing Analyzer4个阶段。

其中Analysis & Synthesis 就是综合,Fitters为布局布线;后面的Assembler用于生成编译输出文件,而Timing Analyzer 是时序分析流程。

在编译过程中Quartus II会在消息框中显示编译的警告、错误和消息,并在编译结束后给出完成报告。

遇到编译错误,Quartus II会立即终止编译流程,并给出错误信息,双击错误名称,Quartus II会自动在HDL代码等设计源描述中定位出错位置。

在完成编译之后,通过执行Tools Netlist Viewers RTL Viewer菜单命令可以观察到设计的RTL视图如图2.10所示在Quartus II中,执行Analysis and Elaboration流程后即可以观察RTL电路图,所以RTL电路图,所以RTL电路图是在综合及布局布线前生成的,并非设计的最终电路结构。

RTL视图是设计的寄存器传输级展现,作为设计输入最忠实的体现,RTL视图的主要作用是帮助设计者检查设计输入中的问题。

图2.10RTL视图5. 仿真对工程的编译通过后,必须对其功能进行仿真和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。

再把设计配置到器件之前,可以通过仿真对设计进行全面的测试,保证设计在各种条件下都能有正确的响应。

本例是编译后进行仿真,具体步骤如下:i.新建波形文件在Quartus II见面中执行File New菜单命令,在弹出新建对话框中选择Other Files选项卡,选择Vector Waveform File项目,如图2.11所示。

点击【OK】可以看到Quartus II创建的名为Waveform1.vwf的仿真波形文件,使用File Save As…命令将其另存为div,如图2.12所示。

相关文档
最新文档