四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

合集下载

数字电路4数据选择器及数值比较器

数字电路4数据选择器及数值比较器
Y1:输出端
S'1 : 附加控制端
输出端的逻辑式为:
Y1
[D10 A1A0
D11 A1A0
D12 A1 A0
D13
A 1
A0 ]S1
Y1
[D10 A1A0
D11 A1A0
D12 A1 A0
D13
ቤተ መጻሕፍቲ ባይዱ
A 1
A0 ]S1
其真值表如下表所示:
Y1 的卡诺图
S1 A1 A0 Y1 1 ×× 0
0 0 0 D10 0 0 1 D11 0 1 0 D12 0 1 1 D13
★ 上边选择器被禁止,输出Y1为低电平。
综上所说:8选1数据选择器的输出 Y=Y1+Y2
用数据选择器实现组合逻辑函数(1)
(1) 具有n位地址输入的数据选择器,可实现(不大于) n变量的任何形式组合逻辑函数。
由于数据选择器在输入数据全部为 1 时,输出为地址 输入变量全体最小项的和。
例如:4选1数据选择器的输出:
A0 A1
0
1
0 D0 D1
1 D2 D3
(1)当S'1=1时,数据选择器被禁止,输出封锁为低电平; (2)当S‘1=0时,数据选择器工作;
例1. 试用双4选1数据选择器74HC153组成8选1数据选 择器。
分析: (1) “8选1”数据选择器需要3位地址码,8个输入端,1

双4选1数据选择器实现8选1真值表

双4选1数据选择器实现8选1真值表

双4选1数据选择器实现8选1真值表

一、任务介绍

在数字电路设计中,数据选择器是一种常见的逻辑电路元件,用于从多个输入数据中选择一个输出。双4选1数据选择器是一种特殊的数据选择器,它具备两组输入数据,每组包含4个输入信号,从而实现8选1的选择功能。本文将深入探讨双4

选1数据选择器的原理和真值表实现。

二、双4选1数据选择器原理

双4选1数据选择器的原理基于逻辑门的组合,常见实现方式是使用与门(AND)、或门(OR)、非门(NOT)和选择门(MUX)。以下是双4选1数据选择器的标准逻辑图:

____ _______

A0 ----| \ | |

| AND |----A| |

A1 ----|____/ _______ OR |--------- Y

| |_____|

B0 ------------| |

| MUX |

B1 ------------| |

|_______|

其中,A0、A1为第一组输入信号,B0、B1为第二组输入信号,Y为输出信号。AND

门用于生成选择信号A,OR门用于生成选择信号B,MUX门根据选择信号实现8选

1的功能。

三、双4选1数据选择器真值表分析

根据双4选1数据选择器的原理,可以得到其真值表。由于该选择器具备8个输入和1个输出,总共有256种输入组合,我们将根据选择器的功能特点进行真值表的分析。

1. 选择信号A与选择信号B的关系

双4选1数据选择器的第一组输入信号(A0、A1)和第二组输入信号(B0、B1)分别生成选择信号A和选择信号B。根据逻辑电路的定义,选择信号A和选择信号B

只能有一个为1,即A+B=1。因此,我们可以根据选择信号的取值进行划分。

实验五 七人表决器的设计

实验五 七人表决器的设计

实验五七人表决器的设计

一、实验目的

1、掌握VHDL程序设计。

二、实验内容

设计七人表决器,并在数码管上显示赞成票数。

三、实验仪器

1、ZY11EDA13BE型实验箱通用编程模块,配置模块,开关按键模块,数码显示模块。

2、并口延长线,JTAG延长线。

3、安装MAX+PLUSII 10.2软件的PC机。

四、实验原理

表决器是统计选票结果的工具,投票者通过拨位开关投票,表示赞成或反对,用循环语句完成赞成票计数过程,统计出赞成的票数之后,用CASE控制数码管显示赞成票数。

五、实验步骤:

步骤1:输入VHDL程序,编译,仿真,锁定引脚并下载到目标芯片。

步骤2:验证设计结果。

六、实验报告

1、列出数码管控制接口表(列出数码管显示数字的每段控制电平)。

七、思考题

记录实验数据,列出七人表决器的真值表。

VHDL程序:

library ieee;

use ieee.std_logic_1164.all;

ENTITY eda3 is

PORT (men:in std_logic_vector(6 downto 0);

a,b,c:out std_logic;

output:out std_logic_vector(6 downto 0)); END entity eda3;

ARCHITECTURE behave OF eda3 IS

BEGIN

PROCESS(men)

variable temp: integer range 0 to 7;

BEGIN

temp:=0;

for i in 0 to 6 loop

if(men(i)='1')then

七人表决器实验报告doc

七人表决器实验报告doc

七人表决器实验报告

篇一:哈工大电工学新技术实践实验报告-7人表决器总成绩:

一、设计任务

1、有七人参与表决,显示赞同者个数。

2当赞同者达到及超过4人时,绿灯显示表示通过。

二、设计条件

本设计基于软件Multisim10.0.1进行仿真,在电机楼实验室XX5进行验证。

三、设计要求

1、熟悉74LS161,74LS151,数码管的工作原理。

2、设计相应的电路图,标注元件参数,并进行仿真验证。

四、设计内容

1. 电路原理图(含管脚接线)电路原理图如图1所示

图1 电路原理图

2. 计算与仿真分析

仿真结果如图2、3、4所示

图2 仿真结果

图4 仿真结果

4. 调试流程

调试流程如图5所示

图5 调试流程

5. 设计和使用说明

74LS151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。

(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。

(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:CBA=000,则选择D0数据到输出端,即Y=D0。如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

图6 74LS151引脚排列

表1 74LS151功能表

74LS161功能:

(1)

异步置“0”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。

多路选择器有哪些

多路选择器有哪些

多路选择器有哪些

多路选择器的分类

多路选择器也称数据选择器。常见分类有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS152)、16选1数据选择器(可以用两片74151连接起来构成)等之分。多路选择器还包括总线的多路选择,模拟信号的多路选择等,另外相应的器件也有不同的特性和使用方法。

多路选择器的4选1原理图

图所示的是四选一多路选择器的原理图。图中的D0、D1、D2、D3是四个数据输入端,Y为输出端,A1、A0是地址输入端。从表中可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。因此,用数据选择器可以实现数据的多路分时传送。

此外,多路选择器还广泛用于产生任意一种组合逻辑函数。

如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。可见,利用具有n 位地址输入的多路选择器可以产生任何一种输入变量数不大于n +1的组合逻

4位数值比较器

4位数值比较器

X X大学课程设计

题目 4位数值比较器

学院 X学院

专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX

二〇一〇年十二月二十七日

摘要

随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。

我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。

关键词门电路;开关元件;比较器;逻辑电路;

目录

摘要 (1)

1 前言.................................................................. ................ .. (3)

1.1CMOS组成的门 (3)

1.1.1C M O S组成的非门 (4)

1.1.2C M O S组成的与非门 (4)

1.2位数比较器 (5)

24位数比较器 (7)

2.1 原理框图 (7)

2.2 逻辑电路图 (8)

2.2.14位数逻辑电路图 (8)

2.2.2 4位数比较器原理 (9)

2.2.3 电路板示意图 (10)

4位数值比较器设计[整理]

4位数值比较器设计[整理]

4位数值比较器设计[整理]

四位数值比较器是一种常见的数字电子电路,广泛应用于计算机、通信、控制等领域。其主要功能是将两个四位数字进行比较,输出比较结果。下面将介绍如何设计一款四位数

值比较器。

一、功能描述

四位数值比较器输入两个四位数字A、B,比较它们的大小关系,输出比较结果。如果A>B,输出1;如果A<B,输出-1;如果A=B,输出0。

二、设计步骤

1.分析功能需求

根据功能描述,我们需要完成以下任务:

(1)输入两个四位数字A、B。

(2)将两个数字进行比较。

(3)输出比较结果。

2.确定输入输出端口和数据宽度

根据上述功能需求,我们可以确定输入为两个4位数字A、B,输出为1位数字(1、0或-1)。因此,输入端口需要8个引脚(4位输入A、4位输入B),输出端口需要1个引脚。

3.分析比较规则

比较规则可以分为以下几个步骤:

(1)判断A和B的位数是否相等,如果不相等,则将位数不足的数字前面补0。

(2)从高位开始比较A和B的每一位数字,如果相同,则继续比较下一位,如果不同,则输出A和B相应位数之差的符号。

(3)全部比较完成后,如果A=B,则输出0。

4.设计电路原理图

基于上述分析,我们可以得到四位数值比较器的电路原理图,如下所示:

(A>B)——Y=1

5.实现电路功能

实现上述电路原理图的功能,需要对每个子模块进行详细设计和调试。具体实现过程

如下:

(1)比较器

比较器的功能是比较两个数的大小关系。本电路中采用了四位全加器(4-bit full adder)实现比较器的功能。根据比较规则,当A和B的相应位数相同时,将A和B相应位数之差的符号作为比较结果进行输出。具体电路原理如下图所示:

[重点]实验二四选一多路选择器的设计

[重点]实验二四选一多路选择器的设计

实验二四选一多路选择器的设计00

姓名:庞啟明学号:1112120110 专业:自动化00

一、实验目的00

进一步熟悉QuartusⅡ的Verilog HDL文本设计流程,学习组合电路的设计、仿真和硬件测试。00

二、实验原理00

if_else条件语句描述方式,以过程语句引导的顺序语句,适合描述复杂逻辑系统的行为描述语句。00

(1)以模块定义语句关键词module_endmodule引导完整的电路模块。00

(2)以input和output语句引导模块的外部端口。00

(3)以reg等关键词定义模块内将出现的相关信息的特征和数据类型。00

(4)以always @ 等关键词引导对模块逻辑功能描述的语句。负责描述电路器件的内部逻辑功能和电路结构。00

三、实验设备与软件平台00

实验设备:计算机、FPGA硬件平台是Cyclone系列FPGA00

软件平台:Quartus II 9.1 (32-Bit)、5E+系统00

四、实验内容00

编写Verilog程序描述一个电路,实现以下功能:00

具有6个输入端口 A、B、C、D、S1、SO,A、B、C、D均为输入端口,位宽为1;Sl、S0为通道选择控制信号端,位宽为1;Y为输出端口,位宽为1。当S1S0为“00”时,A的数据从Y输出,S1S0为“01”时,B的数据从Y输出,S1S0为“10”时,C的数据从Y输出,S1S0为“11”时,D的数据从Y输出。00

五、实验步骤00

设计流程:00

1、编辑和输入设计文件00

(1)、新建一个文件夹00

如D:\MUX41 ,本工程所有文件将存放在此目录中。00

七人表决器——精选推荐

七人表决器——精选推荐

七人表决器

一:实验目的

1.掌握用VHDL硬件描述语言做数字电路综合和设计的方法。

2.熟练掌握程序的编译,仿真,生成模块及引脚号码锁定方法并下载到目标芯片。二:实验仪器

ZY11EDA12BE

三:实验要求

设计一个七人表决电路,当参与表决的7人中有4人或4人以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过。

实验时,可用7个电平开关作为表决器的7个输入变量,输入“1”表示表决者“赞同”输入“0”表示表决者“不赞同”。

可在上述电路的基础上,增加数码管显示功能,显示赞同和不赞同的票数。

四:实验原理

根据设计任务要求,实验电路如上图,其中key表示七个输入cp为输入脉冲,result为表决结果显示,seg[6。。0]为送数码管显示结果,sel为选择要显示的数码管

其vhdl语言编写后连接,程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity biaojue is

port (cp:in std_logic;

key_in:in std_logic_vector(6 downto 0);

result:out std_logic;

seg_out:out std_logic_vector(6 downto 0);

sel:out std_logic_vector(2 downto 0));

end biaojue;

architecture arc of biaojue is

五人表决器的设计

五人表决器的设计

《数字与逻辑电路基础》课程设计

——五人表决器的设计

姓名:

学号:2015

学院:自动

任课教师:冯

录 (2)

引言 (3)

摘要............................................................................................ 错误!未定义书签。实验设计原理............................................................................ 错误!未定义书签。实验步骤.................................................................................... 错误!未定义书签。真值表 (4)

卡诺图 (5)

电路图 (7)

Multisim仿真截图 (8)

电路设计总结 (8)

引言:

现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否

的结果。

摘要:

74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分

区工作。

实验设计原理分析:

先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

四位数字加法器

四位数字加法器

数字电路与自动化

课程设计报告设计题目:数字加法显示电路

姓名:刘丽萍

班级:10应用电子技术3

学号:1006020124

小组成员:刘丽萍、陈玉青

设计时间:2011年12月05日~2011年12月25日

目录

一、设计目的------------------------------------------2

二、设计要求------------------------------------------2

三、方案论证与比较---------------------------------2

四、设计原理和各元器件的原理------------------3

五、硬件制作与调试---------------------------------5

六、设计小结------------------------------------------7

七、参考书目------------------------------------------7

数字加法显示电路

一、设计目的

通过设计一个四位加法显示电路,充分了解掌握组合逻辑电路的设计过程,达到以下目的:

1、掌握电路板的焊接技术。

2、掌握基本电路的应用,了解用简单门电路实现控制逻辑的方法。

3、了解了基本电子芯片的功能和使用。

4、实现了理论和实际相结合。

5、进一步学会电路设计与调试。

6、掌握全加器、比较器及译码器设计电路的方法。

二、设计要求

用全加器、比较器、译码器和七段数码管设计一个四位加法显示电路。

要求:输入两个数,使用全加器进行两个的个位十进制相加,通过与比较器比较,如果小于10则正常显示,反而不显示。

四选一逻辑门电路

四选一逻辑门电路

四选一逻辑门电路

引言:

在现代科技时代,逻辑门电路是一种基本的数字电路,用于处理和操控二进制信号。其中,四选一逻辑门电路是一种常见的逻辑门电路,它具有多个输入信号和一个输出信号,根据输入信号的不同组合,输出信号将选择其中的一个进行传递。本文将详细介绍四选一逻辑门电路的原理、应用以及发展前景。

一、四选一逻辑门电路的原理

四选一逻辑门电路是由多个逻辑门组合而成的,常见的组合包括与门、或门和非门。通过逻辑门的输入和输出信号的组合,实现对输入信号的筛选和选择。具体原理如下:

1.1 输入信号

四选一逻辑门电路通常具有4个输入信号,分别记为A、B、C和D。这些输入信号可以是二进制信号0或1,分别表示逻辑上的假和真。

1.2 逻辑门的组合

四选一逻辑门电路通常采用与门、或门和非门的组合。通过这些逻辑门的输入和输出信号的组合,实现对输入信号的筛选和选择。

1.3 输出信号

四选一逻辑门电路的输出信号根据输入信号的不同组合而确定。具体而言,如果输入信号中只有一个为真(1),则输出信号为真(1);

如果输入信号中多于一个为真(1),则输出信号为假(0)。

二、四选一逻辑门电路的应用

四选一逻辑门电路在数字电路中有着广泛的应用,其中包括以下几个方面:

2.1 数据选择器

四选一逻辑门电路可以用作数据选择器,根据不同的输入信号,选择其中一个数据进行传递。在计算机中,数据选择器常用于多路复用器和解码器等电路中,实现数据的选择和传输。

2.2 优先级编码器

四选一逻辑门电路还可以用作优先级编码器,根据输入信号的优先级,选择其中一个信号进行传递。在优先级编码器中,输入信号的优先级从高到低,分别对应不同的输入端口。

组合逻辑电路实验报告

组合逻辑电路实验报告

组合逻辑电路实验报告

一实验目的和实验要求:

1、了解全加器的工作原理及其典型的应用,并验证4位全加器功能。

2、了解和掌握数字比较器的工作原理及如何比较大小。

3、了解和掌握译码器的工作原理,并测试其逻辑功能。

4、了解和掌握编码器的工作原理,并测试其逻辑单元。

5、了解和掌握数码选择器的工作原理及逻辑功能。

二实验方案:

器件:8-3编码器74HC148 3-8译码器74HC138 4选1数据选择器74HC153 4位数字比较器74HC85 4位全加器74HC283

在GDUT-J-1 数字电路试验箱中使用以上芯片,按照实验书连接好线路,通过拨码开关和LED开关来模拟逻辑输入和逻辑输出,观察LED灯的亮灭来判断逻辑状态,完成对应芯片的输入输出状态表(及真值表)来得出芯片的逻辑表达式。

三实验结果和数据处理:

74HC148输入输出状态

控制十进制数字信号输入二进制数码输入状态输出E1 I0 I1 I2 I3 I4 I5 I6 I7 A1 A2 A3 GS EO

1 X X X X X X X X 1 1 1 1 1

0 1 1 1 1 1 1 1 1 1 1 1 1 0

0 X X X X X X X 0 0 0 0 0 1

0 X X X X X X 0 1 0 0 1 0 1

0 X X X X X 0 1 1 0 1 0 0 1

0 X X X X 0 1 1 1 0 1 1 0 1

0 X X X 0 1 1 1 1 1 0 0 0 1

0 X X 0 1 1 1 1 1 1 0 1 0 1

0 X 0 1 1 1 1 1 1 1 1 0 0 1

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告(四选⼀、四位⽐较器、加法器、计数器、巴克码发⽣器)

实验1 4选1数据选择器的设计

⼀、实验⽬的

1.学习EDA软件的基本操作。

2.学习使⽤原理图进⾏设计输⼊。

3.初步掌握器件设计输⼊、编译、仿真和编程的过程。

4.学习实验开发系统的使⽤⽅法。

⼆、实验仪器与器材

1.EDA开发软件⼀套

2. 微机⼀台

3. 实验开发系统⼀台

4. 打印机⼀台

三、实验说明

本实验通过使⽤基本门电路完成4选1数据选择器的设汁,初步掌握EDA设计⽅法中的设汁输⼊、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择⾼、低电平开关作为输⼊,选择发光⼆极管显⽰输出电平值。

本实验使⽤Quartus II软件作为设计⼯具,要求熟悉Quartus II软件的使⽤环境和基本操作,如设计输⼊、编译和适配的过程等。

实验中的设计⽂件要求⽤原理图⽅法输⼊,实验时,注意原理图编辑器的使⽤⽅法。例如,元件、连线、⽹络爼的放巻⽅法和放⼤、缩⼩、存盘、退岀等命令的使⽤。学会管脚锁定以及编程下载的⽅法等。

四、实验要求

1.完成4选1数据选择器的原理图输⼊并进⾏编译;

2.对设计的电路进⾏仿真验证:

3.编程下载并在实验开发系统上验证设计结果。

五、实验结果

管脚分配:

N;如kne DteOwn LccatMi Pwecgj G【c^p I/ODo-l 2 GC6P I ifo Xfl-c t nk A Igt PHJ V21Bl NO AS-VLUTrifd

2?B Irpjt PIW.VI DJ_W ^>VLVTTl(d 3? co1r(xt P1M IPS5a^Lumid 庐Cl Irpul P1W.KC654a>vivin(d 5* C213P1KLP2S M」JO a>vLum(d 6* C3Inpjt叽⼼:■? ^3-VLVTn(d I* GK incut PJWJtfH7B7JJ1 a>VLUTn(d

表决器设计的实验原理

表决器设计的实验原理

表决器设计的实验原理

实验原理

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”

时表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)

为“1”时,则表决器输出为“1”;否则为“0”。

七人表决器设计方案很多,比如用多个全加器采用组合电路实现。用VHDL语言设计七人表决器时,也有多种选择。我们可以用结构描

述的方式用多个全加器来实现电路,也可以用行为描述。采用行为描述时,可用一变量来表示选举通过的总人数。当选举人大于或等于4时为通过,绿灯亮;反之不通过时,黄灯亮。描述时,只须检查每一个输入的状态(通过为“1”,不通过为“0”),并将这些状态值相加,判断状态值和即可选择输出。

设计思路:

本设计采用多个全加器实现组合电路,用七个开关作为表决器的七个输入变量,逻辑“1”时表示“赞同”,逻辑“0”时表示“不赞同”,用发光二极管作为输出指令,输出逻辑“1”表示“通过”;输

出逻辑“0”时表示“不通过”。当表决器的七个输入变量中的4个以上(包含4个)为“1”时,则表决器输出为“1”,绿灯亮;否则为“0”绿灯不亮。

四位数值比较器

四位数值比较器

EDA技术课程大作业

设计题目:四位数值比较器

院系:电子信息与电气工程系

学生姓

学号:0035

专业班级:09电子信息工程(升)

2010年12月9日

四位数值比较器

1. 设计背景和设计方案

设计背景

在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。

设计方案

四位数值比较器是数字电路中应用得最广泛的一种比较器。一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。其中级联输入端口的作用是用来进行芯片的级联操作。通过这些端口可以对比较器的功能进行扩展。

图1-2-1 四位比较器实体模块

表1-2-1 四位比较器的真值表

2. 方案实施

四位数值比较器的设计

1、设计思路文字描述

根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。

2、程序

library ieee;

use comparator is

port(A0,A1,A2,A3: in std_logic;

B0,B1,B2,B3: in std_logic;

I1,I2,I3: in std_logic;

GT,EQ,LT: out std_logic);

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

end case; end process; end behave; 仿真波形图:
EDA 实验报告
姓名: 学号: 班级:
实验 1
4 选 1 数据选择器的设计
一、实验目的 1.学习 EDA 软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 三、实验说明 本实验通过使用基本门电路完成 4 选 1 数据选择器的设计,初步掌握 EDA 设计方法中 的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验 开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用 Quartus II 软件作为设计工具,要求熟悉 Quartus II 软件的使用环境和基本 操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。 例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚 锁定以及编程下载的方法等。 四、实验要求 1.完成 4 选 1 数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4 选 1 数据选择器的原理图:
3
B2 B1 B0
四位比较器功能框图 五、实验结果 四位比较器 VHDL 源文件: library ieee; use ieee.std_logic_1164.all; entity comp4 is port( A3,A2,A1,A0: in std_logic; B3,B2,B1,B0: in std_logic; G,M,L: out std_logic); end comp4; architecture behave of comp4 is begin p1: process(A3,A2,A1,A0,B3,B2,B1,B0) variable comb1,comb2: std_logic_vector(3 downto 0); begin comb1:=A3&A2&A1&A0;
管脚分配:
4_7 译码器程序: library ieee; use ieee.std_logic_1164.all; entity decoder4_7 is port( insign: in std_logic_vector (3 downto 0); outsign: out std_logic_vector (6 downto 0)); end decoder4_7; architecture behave of decoder4_7 is begin process(insign) begin case insign is when"0000"=>outsign<="0000001"; when "0001"=>outsign<="1001111"; when "0010"=>outsign<="0010010"; when "0011"=>outsign<="0000110"; when "0100"=>outsign<="1001100"; when "0101"=>outsign<="0100100"; when "0110"=>outsign<="1100000"; when "0111"=>outsign<="0001111"; when "1000"=>outsign<="0000000"; when "1001"=>outsign<="0001100"; when OTHERS=>outsign<="1111111"; end case; end process; end behave ; 100 进制计数器原理图:
四、实验要求 1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。 2.编制仿真测试文件,并进行功能仿真。 3.下载并验证计数器功能。 4.为上述设计建立元件符号。 5.在上述基础上分别设计按 8421BCD 码和二进制计数的 100 进制同步计数器。 五、实验结果 十进制计数器程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter10 is port(en,reset,clk:in std_logic; q:buffer std_logic_vector(3 downto 0); co:out std_logic); end counter10; architecture behav of counter10 is begin process(clk,en) begin if clk'event and clk='1' then if reset='1' then q<="0000"; elsif en='1' then if q<"1001" then q<=q+'1'; else q<="0000"; end if; end if; end if; end process; co<='1' when q="1001" else '0'; end behav; 仿真波形图:
管脚分配:
试验 3 并行加法器设计
一、试验目的 1.设计一个 4 位加法器。 2.体会用 VHDL 进行逻辑描述的优点。 3,熟悉层次化设计方法。 二、试验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.试验开发系统 一台 4.打印机 一台 5.其他器材和材料 若干 三、试验说明 本试验实现一个 4 位二进制数加法器,其功能框图如图所示。试验时用高低电平开关 作为输入,用数码管作为输出(或用发光二极管) ,管脚锁定可根据试验系统自行安排。 四、实验要求 a3 a2 1.用硬件描述语言编写 4 位二进制数全加器的源文件; a1 2.对设计文件进行编译; a0 3.仿真设计文件; 4.编程下载并进行试验验证。 adder4 五、试验结果 b3 b2 4 位二进制全加器的源文件: b1 library ieee; b0 use ieee.std_logic_1164.all; entity adder4 is ci port(a,b: in std_logic_vector(3 downto 0); cin: in std_logic_vector(3 downto 0); 全加器功能框图 sum: out std_logic_vector(3 downto 0); count: out std_logic); end adder4; architecture behavioral of adder4 is begin p1:process(a,b,cin) variable vsum: std_logic_vector(3 downto 0); variable carry: std_logic; begin carry:=cin; for i in 0 to 3 loop vsum(i):=(a(i) xor b(i)) xor carry; carry:=(a(i) and b(i)) or (carry and (a(i) or b(i))); end loop; sum<=vsum; count<=carry;
仿真波形图:
管脚分配:
实验 2
四位比较器
一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个 4 位二进制码的比较器,输入为两个 4 位二进制码 A 3 A 2 A 1 A 0 和
仿真波形图:
管脚分配:
实验 5
巴克码发生器
Hale Waihona Puke Baidu
一、实验目的 1.实现一个在通信领域中经常使用的巴克码发生器。 2.掌握用大规模可编程逻辑器件实现时序电路的方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干
三、实验说明 巴克码发生器在数据通信、雷达和遥控领域有相当广泛的应用。它能自动产生周期性 的序列码。 本实验要求产生的序列码信号为 (1110010) 可以用寄存器或同步时序电路实现。 , 为了能够通过实验开发系统验证试验结果, 可以使用两个输入端, 其中一个输出端同时输出 巴克码,另一个输出端输出节拍。巴克码发生器的功能框图如图所示。 四、实验要求 1.写出全部设计文件。 2.编写测试向量,进行功能仿真。 3.下载并用实验板验证。 五、实验结果 巴克码发生器程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity back is port(clk,reset:in std_logic; dout1,dout2:out std_logic); end back; architecture behave of back is signal count7:integer range 0 to 6; begin process(clk,reset) begin if reset='1' then count7<=0; elsif clk'event and clk='1' then if count7<6 then count7<=count7+1; else count7<=0; end if; end if; dout2<=clk; end process; process(count7) begin case count7 is when 0=>dout1<='1'; when 1=>dout1<='1'; when 2=>dout1<='1'; when 3=>dout1<='0'; when 4=>dout1<='0'; when 5=>dout1<='1'; when 6=>dout1<='0'; when others=>dout1<='0';
B 3 B 2 B1 B 0 ,输出为 M(A=B) ,G(A>B)和 L(A<B) (如图所示) 。用高低电平开关作
为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。 四、实验要求 1.用硬件描述语言编写四位二进制码 A3 G 比较器的源文件; A2 A1 2.对设计进行仿真验证; M 3.编程下载并在实验开发系统上进行 A0 COMP4 硬件验证。 B
s3 s2 s1 s0
co
end process p1; end behavioral; 仿真波形图:
管脚分配:
实验 4 计数器设计
一、实验目的 计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用 HDL 描 述计数器类型模块的基本方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其他器材与材料 若干 三、实验说明 计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或 HDL 语言 完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。
L
comb2:=B3&B2&B1&B0; if(comb1>com2) then G<=’1’; M<=’0’; L<=’0’; elsif(comb1<comb2) then M<=’1’; G<=’0’; L<=’0’; else L<=’1’; G<=’0’; M<=’0’; end if; end process p1; end behave; 仿真波形图:
相关文档
最新文档