集成电路设计的CAD系统

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

流行的CAD系统:Synopsys, Cadence, Magma, Mentor Graphics, Viewlogic, Compass,Panda等 ICCAD 系统的理想作用:实现完全的自动化设 计,设计出各种各样的电路
设计能力的阶跃-EDA技术
① L-E ② P&R ③ Synth ④ SoC
整个设计过程就是把高层次的抽象描述逐级向下 进行综合、验证、实现,直到物理级的低层次描 述,即掩膜版图。 各设计阶段相互联系,例如,寄存器传输级描述 是逻辑综合的输入,逻辑综合的输出又可以是逻 辑模拟和自动版图设计的输入,版图设计的结果 则是版图验证的输入。 ICCAD系统介入了包括系统功能设计、逻辑和 电路设计以及版图设计等在内的集成电路设计的 各个环节
ENTITY count IS --设计实体count GENERIC (tpd : Time:=10ns); PORT(clock : IN Bit; q1,q0: OUT Bit); END ENTITY count; ARCHITECTURE arch of count IS -- count实体的结构体 BEGIN count_up : PROCESS(clock) --进程体count_up VARIABLE count_value: Natural : =0; BEGIN IF clock='1' THEN Count_value :=(count_value+1) MOD4; q1 <=bit'Val(count_value/2) AFTER tpd; q0 <=bit'Val(count_value MOD 2) AFTER tpd; END IF; END PROCESS count_up; END ARCHITECTURE arch;
集成电路设计的 CAD系统
北京大学
SoC—提高ASIC设计能力的途径
IC 设计能力 与 工艺能力 的 剪刀差
工艺能力 1.5CCAD系统的发展
第一代:60年代末:版图编辑和检查 第二代:80年代初:原理图输入、逻辑模拟向下 第三代:从 RTL级输入向下,包括行为仿真、行 为综合、逻辑综合等
行为描述:描述外部行为
数据流描述,未涉及具体结构
Architecture behavioral of half _adder is component XOR 元件的外观说明(表示符号,与实体不同) port( I1: in std_logic I2: in std_logic O1: out std_logic ); end component; component AND2 port( I1: in std_logic I2: in std_logic O1: out_ std_logic ); end component; begin U1: XOR port map(A,B,SUM); 元件引用,生成例元 (标号:元件名
端口映射)
U2: AND2 port map(A,B,CO); end behavioral;
VHDL语言的建模机制 ——行为描述
电子实体中的 行为:反映信号的变化、组合和传播 行为的特点是信号的延迟和并行性
VHDL语言
基本概念:描述硬件电路,可以抽象地表示电路 的行为和结构(完成什么功能,怎样组成) 作用:
对IC设计,支持从系统级到门和器件级的电路描 述,并具有在不同设计层次上的模拟验证机制 可作为综合软件的输入语言,支持电路描述由高 层向低层的转换
建模机制、模拟算法、模拟环境
建模机制
大规模电路的出现: 逻辑图、布尔方程不太适用 需要在更高层次上描述系统 出现多种 HDL语言,为便于信息交换和维护,出现工业标准
通常指高层设计阶段描述硬件
HDL语言的特点
抽象地进行行为描述 结构化语言:可以描述电子实体的结构 多层次混合描述 既可被模拟,又可被综合 能提供VHDL模拟器的公司:Cadence、Mentor Graphics、Viewlogic、Synopsys等大型EDA公司和 CLSI、Model-Technology、Vantage等专门公司 Verilog
ICCAD系统的实际作用
设计信息输入:
语言输入编辑工具 高层次描述的图形输入工具: VHDL功能图输入、 逻辑图 /电路图输入编辑、版图输入编辑
设计实现:综合器 设计验证:验证系统 /电路符合功能/性能要求及设 计规则要求
模拟器进行模拟(仿真)分析 设计规则的检查
什么是模拟? 对于设计输入抽象出模型,施加外部激励,观察输 入,进行判断
主要内容
系统描述及模拟 综合 逻辑模拟 电路模拟 时序分析 版图设计的CAD工具 计算机辅助测试技术 器件模拟和工艺模拟
系统描述与模拟:VHDL语言及模拟
VHDL语言出现背景
一种硬件描述语言(hardware description language) 广义地说,描述电子实体的语言:逻辑图,电路图
基本结构 行为描述 结构描述
VHDL语言的建模机制 —— 基本结构
一个硬件单元在VHDL中看作一个设计实体 实体外观
实体说明:实体命名,实体与外部环境的接口描 述,未涉及其内部行为及结构
实体功能
在结构体中实现
结构体:实体的输入-输出关系,实体的结构和行为描述
对应一个实体说明可以有多个结构体,不同的实现方案
功能描述:
行为描述 数据流描述
结构描述
混合描述
Architecture behavioral of half _adder is begin process SUM <=A+B; CO <= A and B; wait on A,B; end process; end behavioral; Architecture behavioral of half _adder is begin SUM <=A+B; CO <= A and B; end behavioral;
相关文档
最新文档