HDL语言应用与设计试卷A卷(附答案)

合集下载

Verilog HDL试卷及答案教学提纲

Verilog HDL试卷及答案教学提纲

V e r i l o g H D L试卷及答案河北大学课程考核试卷2008——2009学年第一学期 2006级电气类专业(类)考核科目EDA技术课程类别必修考核类型考查考核方式闭卷类别 A一、选择题:1、下列标示符哪些是合法的(B )A、$timeB、_dateC、8sumD、mux#2、如果线网类型变量说明后未赋值,起缺省值是(D )A、xB、1C、0D、z3、现网中的值被解释为无符号数。

在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是( A )A、4’b1101B、4’b0011C、4’bxx11D、4’bzz114、reg[7:0] mema[255:0]正确的赋值是(A )A、mema[5]=3’ d0,B、8’ d0;C、1’ b1;D、mema[5][3:0]=4’ d15、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D )module code(x,y); module top;paramee delay1=1,delay2=1; …………….……………………………… code #(1,5) d1(x1,y1);endmodule endmoduleA、(1,1)B、(5,5)C、(5,1)D、(1,5)6、“a=4’ b11001,b=4’ bx110”选出正确的运算结果(B )A、a&b=0B、a&&b=1C、b&a=xD、b&&a=x7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C )A、时间精度10nsB、时间单位100psC、时间精度100psD、时间精度不确定8、若a=9,执行$display(“current value=%0b,a=%0d”,a,a)正确显示为(B )A、current value=1001,a=09B、current vale=1001,a=9C、1001,9D、current vale=00…001001,a=99、aways begin #5 clk=0;#10 clk=~clk;end产生的波形( A )A、占空比1/3B、clk=1C、clk=0D、周期为1010、在Verilog中定义了宏名 `define sum a+b+c 下面宏名引用正确的是(C )A、out=’sum+d;B、out=sum+d;C、out=`sum+d;D、都正确二、填空题:(共15分,每小题3分)1、某一纯组合电路输入为in1,in2和in3,输入出为out,则该电路描述中always的事件表达式应写为always@(in1,in2,in3 );若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst清零,该电路描述中always的事件表达是应该写为always @( posedge clk )。

数字系统设计hdl课后答案

数字系统设计hdl课后答案

数字系统设计hdl课后答案【篇一:数字系统设计与verilog hdl】ss=txt>(复习)eda(electronic design automation)就是以计算机为工作平台,以eda软件工具为开发环境,以pld器件或者asic专用集成电路为目标器件设计实现电路系统的一种技术。

1.电子cad(computer aided design)2.电子cae(computer aided engineering)3.eda(electronic design automation)eda技术及其发展p2eda技术的应用范畴1.3 数字系统设计的流程基于fpga/cpld的数字系统设计流程1. 原理图输入(schematic diagrams )2、硬件描述语言 (hdl文本输入)设计输入硬件描述语言与软件编程语言有本质的区别综合(synthesis)将较高层次的设计描述自动转化为较低层次描述的过程◆行为综合:从算法表示、行为描述转换到寄存器传输级(rtl)◆逻辑综合:rtl级描述转换到逻辑门级(包括触发器)◆版图综合或结构综合:从逻辑门表示转换到版图表示,或转换到pld器件的配置网表表示综合器是能自动实现上述转换的软件工具,是能将原理图或hdl语言描述的电路功能转化为具体电路网表的工具适配适配器也称为结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,并产生最终的可下载文件对cpld器件而言,产生熔丝图文件,即jedec文件;对fpga器件则产生bitstream位流数据文件p8仿真(simulation)功能仿真(function simulation)时序仿真(timing simulation)仿真是对所设计电路的功能的验证p9编程(program)把适配后生成的编程文件装入到pld器件中的过程,或称为下载。

通常将对基于eeprom工艺的非易失结构pld器件的下载称为编程(program),将基于sram工艺结构的pld器件的下载称为配置(configure)。

最新Verilog-HDL考核试卷一及答案资料

最新Verilog-HDL考核试卷一及答案资料

河北大学课程考核试卷2008 —— 2009学年第一学期2006级电气类专业(类)考核科目EDA技术课程类别必修考核类型考查考核方式闭卷类别A 选择题:1、下列标示符哪些是合法的(B )A、$timeB、_dateC、8sumD、mux#2、如果线网类型变量说明后未赋值,起缺省值是(D )A、xB、1C、0D、z3、现网中的值被解释为无符号数。

在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A)A、4'1101B、4'0011C、4'bxx11D、4'bzz114、r eg[7:0] mema[255:0]正确的赋值是(A)A、mema[5]=3 'd0,B、8'd0; C 1'b1; D、mema[5][3:0]=4 'd15、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是(D )module code(x,y); module top;paramee delay1=1,delay2=1; ............ ......................................... code #(1,5) d1(x1,y1);en dmodule en dmoduleA、(1,1)B、(5,5) C (5,1)D、(1,5)6、“a=4‘ b11001,b=4 ' bx110”选出正确的运算结果(B )A、a&b=0B、a&&b=1C、b&a=xD、b&&a=x7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C )A、时间精度10nsB、时间单位100psC、时间精度100psD、时间精度不确定8、若a=9,执行$display(“urrent value=%0b,a=%0d ",a,a)正确显示为(B )A、current value=1001,a=09B、current vale=1001,a=9C 1001,9 D、current vale=00 …001001,a=99、aways begin #5 clk=0; #10 clk=~clk;end 产生的波形(A )A、占空比1/3 B clk=1 C、clk=0 D、周期为1010、在Verilog中定义了宏名'define sum a+b+c下面宏名引用正确的是( C )A、out= 'um+d;B、out=sum+d;C、out='sum+d;D、都正确二、填空题:(共15分,每小题3分)1、某一纯组合电路输入为in1, in2和in3,输入出为out,则该电路描述中always的事件表达式应写为always@(in1,in2,in3 );若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst 清零,该电路描述中always的事件表达是应该写为always@( posedge clk )。

VerilogHDL试卷及答案

VerilogHDL试卷及答案

一、选择题:1、下列标示符哪些是合法的(B )A、$timeB、_dateC、8sumD、mux#2、如果线网类型变量说明后未赋值,起缺省值是(D )A、xB、1C、0D、z3、现网中的值被解释为无符号数。

在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A )//补码!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!A、4’b1101B、4’b0011C、4’bxx11D、4’bzz114、reg[7:0] mema[255:0]正确的赋值是(A )A、mema[5]=3’ d0,B、8’ d0;C、1’ b1;D、mema[5][3:0]=4’ d15、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D )module code(x,y); module top;paramee delay1=1,delay2=1; …………….………………………………code #(1,5) d1(x1,y1);endmodule endmoduleA、(1,1)B、(5,5)C、(5,1)D、(1,5)6、“a=4’ b11001,b=4’ bx110”选出正确的运算结果(B )A、a&b=0B、a&&b=1C、b&a=xD、b&&a=x7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C )A、时间精度10nsB、时间单位100psC、时间精度100psD、时间精度不确定8、若a=9,执行$display(“current value=%0b,a=%0d”,a,a)正确显示为(B )//去除无效0A、current value=1001,a=09B、current vale=1001,a=9C、1001,9D、current vale=00…001001,a=99、always begin #5 clk=0;#10 clk=~clk;end产生的波形(A )//5占15的1/3A、占空比1/3B、clk=1C、clk=0D、周期为1010、在Verilog中定义了宏名`define sum a+b+c 下面宏名引用正确的是(C )//注意引用A、out=’sum+d;B、out=sum+d;C、out=`sum+d;D、都正确二、填空题:(共15分,每小题3分)1、某一纯组合电路输入为in1,in2和in3,输入出为out,则该电路描述中always的事件表达式应写为always@(in1,in2,in3 );若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst清零,该电路描述中always的事件表达是应该写为always @( posedge clk )。

eda技术实用教程-veriloghdl答案

eda技术实用教程-veriloghdl答案

eda技术实用教程-veriloghdl答案【篇一:eda技术与vhdl程序开发基础教程课后答案】eda的英文全称是electronic design automation2.eda系统设计自动化eda阶段三个发展阶段3. eda技术的应用可概括为4.目前比较流行的主流厂家的eda软件有、5.常用的设计输入方式有原理图输入、文本输入、状态机输入6.常用的硬件描述语言有7.逻辑综合后生成的网表文件为 edif8.布局布线主要完成9.10.常用的第三方eda工具软件有synplify/synplify pro、leonardo spectrum1.8.2选择1.eda技术发展历程的正确描述为(a)a cad-cae-edab eda-cad-caec eda-cae-cadd cae-cad-eda2.altera的第四代eda集成开发环境为(c)a modelsimb mux+plus iic quartus iid ise3.下列eda工具中,支持状态图输入方式的是(b)a quartus iib isec ispdesignexpertd syplify pro4.下列几种仿真中考虑了物理模型参数的仿真是(a)a 时序仿真b 功能仿真c 行为仿真d 逻辑仿真5.下列描述eda工程设计流程正确的是(c)a输入-综合-布线-下载-仿真b布线-仿真-下载-输入-综合c输入-综合-布线-仿真-下载d输入-仿真-综合-布线-下载6.下列编程语言中不属于硬件描述语言的是(d)a vhdlb verilogc abeld php1.8.3问答1.结合本章学习的知识,简述什么是eda技术?谈谈自己对eda技术的认识?答:eda(electronic design automation)工程是现代电子信息工程领域中一门发展迅速的新技术。

2.简要介绍eda技术的发展历程?答:现代eda技术是20世纪90年代初从计算机辅助设计、辅助制造和辅助测试等工程概念发展而来的。

VerilogHDL复习题与答案

VerilogHDL复习题与答案

VerilogHDL硬件描述语言复习一、1. Verilog HDL 是在哪一年首次被I E E E标准化的?答:Verilog HDL是在1995年首次被IEEE标准化的。

2. Verilog HDL支持哪三种基本描述方式?答:Verilog HDL可采用三种不同方式或混合方式对设计建模。

这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模3. Verilog HDL 是由哪个公司最先开发的?答:Verilog HDL是由Gateway Design Automation公司最先开发的4. Verilog HDL中的两类主要数据类型什么?答:线网数据类型和寄存器数据类型。

线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。

5. U D P代表什么?答:UDP代表用户定义原语6. 写出两个开关级基本门的名称。

答:pmos nmos7.写出两个基本逻辑门的名称。

答:and or8.在数据流描述方式中使用什么语句描述一个设计?答:设计的数据流行为使用连续赋值语句进行描述9. 采用结构描述方式描述1位全加器。

答:module full_add(a,b,cin,s,co);input a,b,cin;output s,co;wire S1,T1,T2,T3;xorX1(S1,a,b),X2(s,S1,cin);andA1(T3,a,b),A2(T2,b,cin),A3(T1,a,cin);orO1(co,T1,T2,T3);endmodule10. i n i t i a l语句与always 语句的关键区别是什么?答: 1) initial语句:此语句只执行一次。

2) always语句:此语句总是循环执行, 或者说此语句重复执行。

11.采用数据流方式描述2 - 4译码器。

答:'timescale 1ns/nsmodule Decoder2×4(A,B,EN,Z);input A,B,EN;output [0:3]Z;wire abar,Bbar;assign #1 Abar=~A;assign #1 Bbar=~B;assign #2 Z[0]=~(Abar&Bbar&EN);assign #2 Z[1]=~(Abar&B&EN);assign #2 Z[2]=~(A&Bbar&EN);assign #2 Z[3]=~(A&B&EN);endmodule1 2. 找出下面连续赋值语句的错误。

Verilog试题2012(A答案)

Verilog试题2012(A答案)

北京航空航天大学2011 ~2012 学年第二学期 数字EDA 期末考试试卷( 2012 年 5 月 23 日)班级:__________;学号:______________;姓名:__________________;成绩:___________注意事项:1、填空题与选择题直接在试题上作答2、设计题在答题纸上作答正题:一、填空题(共30分,每道题3分)1. 写出表达式以实现对应电路的逻辑功能。

F2. 根据图中输入输出关系将Verilog模块定义补充完整,其中信号A 为5比特宽度,其余信号为1比特宽度。

A 宽3. IEEE 标准的硬件描述语言是 verilog HDL 和 VHDL 。

4. 你所知道的可编程逻辑器件有(至少两种): FPGA, CPLD, GAL, PAL (任写其二) 。

5. 假定某4比特位宽的变量a 的值为4’b1011,计算下列运算表达式的结果6. Verilog 语言规定了逻辑电路中信号的4种状态,分别是0,1,X 和Z 。

其中0表示低电平状态,1表示高电平状态,X 表示 不定态(或未知状态) ,Z 表示 高阻态 。

assign F= E ^ ( (A&B) | (!(C&D)))module tblock( A,B,C ) ; output [4:0] A;input B;inout C; …… //省略了功能描述endmodule //模块结束 &a = 1’b0 ~a = 4’b0100 {3{a}} = 12’b101110111011 {a[2:0],a[3]} = 4’b0111 (a<4’d3) || (a>=a) = 1’b1 !a = 1’b07. 下面两段代码中信号in ,q1,q2和q3的初值分别为0,1,2和3,那么经过1个时钟周期后,左侧程序中q3的值变成 0 ,右侧程序中q3的值变成 2 。

8. Verilog 语言规定的两种主要的数据类型分别是 wire(或net) 和 reg 。

(完整)Verilog_HDL试卷及答案,推荐文档.doc

(完整)Verilog_HDL试卷及答案,推荐文档.doc

河北大学课程考核试卷2008 —— 2009 学年第一学期2006 级电气类专业(类)考核科目 EDA 技术课程类别必修考核类型考查考核方式闭卷类别 A一、选择题:1、下列标示符哪些是合法的( B )A、 $timeB、 _dateC、 8sumD、 mux#2、如果线网类型变量说明后未赋值,起缺省值是( D )A、 xB、 1C、 0D、 z3、现网中的值被解释为无符号数。

在连续赋值语句中,assign addr[3:0]=-3;addr 被赋予的值是( A )A、 4’b1101B、 4’b0011C、 4’bxx11D、 4’bzz114、 reg[7:0] mema[255:0] 正确的赋值是( A )A、 mema[5]=3 ’d0,B、 8’d0;C、 1’b1;D、 mema[5][3:0]=4 ’d15、在 code 模块中参数定义如下,请问top 模块中 d1 模块 delay1、 delay2 的值是 ( D )module code(x,y); module top;paramee delay1=1,delay2=1; .code #(1,5) d1(x1,y1);endmodule endmoduleA、( 1,1)B、(5,5)C、( 5,1)D、( 1,5)6、“ a=4’b11001,b=4 ’bx110”选出正确的运算结果( B )A、 a&b=0B、a&&b=1C、b&a=xD、 b&&a=x7、时间尺度定义为timescale 10ns/100ps ,选择正确答案( C )A、时间精度 10nsB、时间单位 100psC、时间精度 100psD、时间精度不确定8、若 a=9,执行 $display( “current value=%0b,a=%0d ”,a,a)正确显示为( B )A、 current value=1001,a=09B、current vale=1001,a=9C、 1001,9D、 current vale=00 001001,a=99、 aways begin #5 clk=0; #10 clk=~clk;end 产生的波形( A )A、占空比 1/3B、 clk=1C、 clk=0D、周期为 1010、在 Verilog 中定义了宏名`define sum a+b+c 下面宏名引用正确的是( C )A、 out= ’sum+d;B、 out=sum+d;C、 out=`sum+d;D、都正确二、填空题:(共 15 分,每小题 3 分)1、某一纯组合电路输入为in1,in2 和 in3,输入出为 out ,则该电路描述中always 的事件表达式应写为always@(in1,in2,in3 ); 若某一时序电路由时钟clk 信号上升沿触发,同步高电平复位信号rst 清零,该电路描述中always 的事件表达是应该写为always @( posedge clk )。

FPGA应用开发智慧树知到答案章节测试2023年上海电力大学

FPGA应用开发智慧树知到答案章节测试2023年上海电力大学

第一章测试1.Verilog HDL的抽象分层建模方式可划分为系统级和算法级建模方式、寄存器级建模方式、逻辑门级建模方式和晶体管开关级建模方式。

()A:错B:对答案:B2.Verilog HDL是唯一一种硬件描述语言。

()A:对B:错答案:B3.以下哪些属于可编程逻辑器件()A:CPLDB:PALC:GALD:FPGA答案:ABCD4.以下哪些是FPGA和CPLD的相似点()A:可采用Verilog HDL 进行电路设计B:可编程逻辑器件C:采用SRAM工艺D:器件密度可达到上千万门答案:AB5.以下哪些是SOPC的基本特征()A:低功耗B:单芯片C:可能包含部分模拟电路D:至少包含一个嵌入式处理器内核答案:ABCD第二章测试1.如果线网类型变量说明后未赋值,起始缺省值是( )A:xB:zC:1D:0答案:B2.reg[7:0] mema[255:0]正确的赋值是 ( )A:4’bxx11B:4’bzz11C:8’d0;D:mema[5]=3’d0,答案:D3.“a=4`b11001,b=4’bx110”选择正确的运算结果()A:b&a=xB:a&&b=1;C:a&b=0D:b&&a=x答案:B4.下列标识符中,()是合法的标识符。

A:_dateB:adder8#C:8_sumD:$finish答案:A5.下列语句中,不属于并行语句的是()。

A:过程语句B:assign语句C:case语句D:元件例化语句答案:C第三章测试1.阻塞性赋值符号为<=,非阻塞性赋值符号为=。

( )A:错B:对答案:A2.某一纯组合电路输入为in1,in2和in3,输出为out,则该电路描述中always的事件表达式应写为always@( in1,in2,in3 ); ()A:对B:错答案:A3.若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst清零,该电路描述中always的事件表达是应该写为always@( posedge clk or rst)。

verilog-hdl答案

verilog-hdl答案

verilog-hdl答案第1章简介HDL是在哪一年首次被IEEE标准化的Verilog HDL是在1995年首次被IEEE标准化的。

HDL支持哪三种基本描述方式Verilog HDL可采用三种不同方式或混合方式对设计建模。

这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模3.可以使用Verilog HDL描述一个设计的时序吗Verilog HDL可以清晰的建立时序模型,故可以使用Verilog HDL 描述一个设计的时序。

4.语言中的什么特性能够用于描述参数化设计,在行为级描述中, Verilog HDL不仅能够在RT L级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述,而且能够使用门和模块实例化语句在结构级进行结构描述,这种特性可用于描述参数化设计。

5.能够使用Verilog HDL编写测试验证程序吗能,可以编写testbench来对编写的程序进行验证。

HDL是由哪个公司最先开发的Verilog HDL是由Gateway Design Automation公司最先开发的HDL中的两类主要数据类型是什么线网数据类型和寄存器数据类型。

线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。

,代表什么UDP代表用户定义原语9.写出两个开关级基本门的名称。

pmos nmos10.写出两个基本逻辑门的名称。

and or第2章 HDL指南1. 在数据流描述方式中使用什么语句描述一个设计】设计的数据流行为使用连续赋值语句进行描述2. 使用` t i m e s c a l e 编译器指令的目的是什么举出一个实例。

使用编译指令将时间单位与物理时间相关联。

例如` timescale 1ns /100ps 此语句说明时延时间单位为1ns并且时间精度为100ps (时间精度是指所有的时延必须被限定在内)3. 在过程赋值语句中可以定义哪两种时延请举例详细说明。

2011高级语言程序设计考卷A及参考答案

2011高级语言程序设计考卷A及参考答案

…………试卷装订线………………装订线内不要答题,不要填写考生信息………………试卷装订线……………………试卷装订线………………装订线内不要答题,不要填写考生信息………………试卷装订线……………………装订线………………装订线内不要答题,不要填写信息………………装订线…………武汉理工大学考试试题答案(A卷)2011 ~2012 学年1 学期高级语言程序设计课程二、填空题(本大题共 5 小题,每空1分,共10 分)1.;(或分号)}2.全局变量03.inline void4. break continue5. 说明运算三、判断题(本大题共 5 小题,每小题2分,共10 分)1.(√)2.(x)3.(x)4.(√)5.(√)四、程序阅读题(本大题共 4 小题,每小题5分,共20 分)1.6 4 5 2.s=243.sum=24 4.25 20五、程序填空题(本大题共2小题,每空2分,共10 分)1.(1)a (2)*(p+i) (或p[i])(3)sum/n2.(1)n<100 (2)break六、程序编写题(本大题共 3 小题,每小题10 分,共30 分)1.#include <iostream>using namespace std;int main() {int i,n=0,s=0,x;for(i=1;i<=35;i++) {cin>>x;if(x>=60) n++;s=s+x;}cout<<"Average is "<<s/35<<endl;cout<<"Passed is "<<n<<endl;return 0;}2.double fact (int n) // 非递归函数{int i;double p=1.0;for(i=1;i<=n;i++)p=p*i;return p;}double fact(int n) // 递归函数{if (n==1)return 1;elsereturn n*fact2(n-1);}3.float fun(Student *head){Student *p;int n;float sum=0.0;p=head;n=0;while (p!=NULL){ n++;sum=sum+p->score;p=p->next;}return sum/n;}。

数字系统设计与VerilogHDL课后习题

数字系统设计与VerilogHDL课后习题

数字系统设计与VerilogHDL课后习题习题11.1现代EDA技术的特点有哪些?1.2什么是T op-down设计方式?1.3数字系统的实现方式有哪些?各有什么优缺点?1.4什么是IP复用技术? IP核对EDA技术的应用和发展有什么意义?1.5用硬件描述语言设计数字电路的优势是什么?1.6结合自己的使用情况谈谈对EDA工具的认识。

1.7基于FPGA/CPLD的数字系统设计流程包括哪些步骤?1.8什么是综合?常用的综合工具有哪些?1.9功能仿真与时序仿真有什么区别?1.10 FPGA与ASIC在概念上有什么区别?习题22.1 PLA和PAL在结构上有什么区别?2.2说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?2.3简述基于乘积项的可编程逻辑器件的结构特点。

2.4基于查找表的可编程逻辑结构的原理是什么?2.5基于乘积项和基于查找表的结构各有什么优点?2.6 CPLD和FPGA在结构上有什么明显的区别?各有什么特点?2.7 FPGA器件中的存储器块有何作用?2.8 Altera的MAX II器件是属于CPLD还是FPGA,请查阅有关资料并进行分析。

2.9边界扫描技术有什么优点?2.10说说JTAG接口都有哪些功能。

2.11 FPGA/CPLD器件未来的发展趋势有哪些?习题44.1 用Verilog设计一个8位加法器,进行综合和仿真,查看综合和仿真结果。

4.2 用Verilog设计一个8位二进制加法计数器,带异步复位端口,进行综合和仿真,查看综合和仿真结果。

4.3用Verilog设计一个模60的BCD码计数器,进行综合和仿真,查看综合和仿真结果。

习题66.1阻塞赋值和非阻塞赋值有什么本质的区别?6.2用持续赋值语句描述一个4选1数据选择器。

6.3用行为语句设计一个8位计数器,每次在时钟的上升沿,计数器加1,当计数器溢出时,自动从零开始重新计数。

计数器有同步复位端。

6.4设计一个4位移位寄存器。

语言与应用试题及答案

语言与应用试题及答案

语言与应用试题及答案一、单项选择题(每题2分,共20分)1. 语言是人类最重要的交际工具,以下哪项不是语言的功能?A. 表达思想B. 传递信息C. 娱乐消遣D. 记录历史答案:C2. 在语言学中,以下哪个术语指的是语言的音位系统?A. 语法B. 语义C. 语音D. 词汇答案:C3. 根据乔姆斯基的生成语法理论,语言的深层结构是指什么?A. 句子的表面形式B. 句子的深层意义C. 句子的生成规则D. 句子的语用功能答案:B4. 在翻译过程中,以下哪个原则强调的是忠实于原文?A. 信B. 达C. 雅D. 准答案:A5. 以下哪种语言现象不属于语言的变异?A. 方言B. 俚语C. 标准语D. 术语答案:C6. 语言的语用功能主要研究的是语言在实际使用中的什么?A. 语法结构B. 语音变化C. 交际效果D. 词汇选择答案:C7. 以下哪个选项是语言学研究的分支?A. 社会学B. 心理学C. 计算机科学D. 语音学答案:D8. 语言的词汇量增长最快的阶段通常是在哪个时期?A. 婴儿期B. 学龄前C. 青少年期D. 成年期答案:B9. 在语言教学中,以下哪种方法强调通过实践和使用语言来学习?A. 语法翻译法B. 直接法C. 听说法D. 交际法答案:D10. 以下哪种语言现象是语言的创新?A. 借用B. 混合C. 创造新词D. 语言退化答案:C二、填空题(每题2分,共20分)1. 语言的______功能是指语言能够表达情感和态度。

答案:表达2. 语言的______功能是指语言能够影响和改变现实。

答案:操作3. 在语言学中,______是指语言的最小意义单位。

答案:语素4. 语言的______是指语言的使用者对语言的理解和使用能力。

答案:能力5. 语言的______是指语言的使用者对语言的理解和使用习惯。

答案:习惯6. 在翻译中,______是指翻译者对原文的忠实度。

答案:信度7. 语言的______是指语言在不同社会群体中的不同形式。

veriloghdl数字设计与综合答案.doc

veriloghdl数字设计与综合答案.doc

veriloghdl数字设计与综合答案.docveriloghdl 数字设计与综合答案【篇一:verilog 习题选答】txt> 答:fpga 中,由程序来转换为可烧录的二进制码。

ic 设计中,主要是由design-compiler 来实现。

2.能否说模块相当于电路图中的功能模块,端口相当于功能模块的3.assign 声明语句,实例元件,always 块,这三类描述中哪一种直接与电路结构有关?4.由连续赋值语句(assign )赋值的变量能否是reg 型的?答:赋值运算分为连续赋值和过程赋值两种。

(1)连续赋值连续赋值语句只能对线网型变量进行赋值,而不能对寄存器型变量进行赋值,基本的语法结构为:assign #( 延时量) 线网型变量名= 赋值表达式; 一个线网型变量一旦被连续赋值语句赋值后,赋值语句右端赋值表达式的值将持续对赋值变量产生连续驱动,只要右端表达式任一操作数的值发生变化,就会立即触发对赋值变量的更新操作。

(2)过程赋值过程赋值主要用于两种结构化模块(initial 和always )中的赋值语句。

在过程块中只能使用过程赋值语句,不能在过程块中出现连续赋值语句,同时过程赋值语句也只能用在过程赋值模块中。

基本的语法结构为:被赋值变量赋值操作符赋值表达式,其中,赋值操作符是“=”或“=,”它分别代表了阻塞赋值和非阻塞赋值类型。

过程赋值语句只能对寄存器类型的变量进行赋值,经过赋值后,上面这些变量的值将保持不变,直到另一条赋值语句对变量重新赋值为止。

5.如果都不带时间延迟、阻塞和非阻塞赋值有何不同?说明它们的不同点?答:代码1:module test(a,b,c,d,y); // 两个与逻辑, 1 个或逻辑inputa,b,c,d; output y;reg y,tmp1,tmp2;always @(a or b or c or d)// y 的值并不等于当前的tmp1 ,tmp2相或的值,而是等于上begin 一次运算时tmp1 ,tmp2 相或的值。

HDL语言应用与设计试卷A卷(附答案)

HDL语言应用与设计试卷A卷(附答案)

中国矿业大学2010~2011学年第 二 学期 《HDL 语言应用与设计 》试卷(A )卷 考试时间:100 分钟 考试方式:闭卷学院_________班级_____________姓名 ___________学号____________一、综合程序设计题(100分)(1)请简答或画出基于verilog HDL 的数字系统设计流程(10分) (2)写出下图所示3选1的多路选择器的verilog 程序实现(行为描述方式)(10分)(3)写出一位全加器的UDP 描述(15分)(4)请编写5分频的Verilog 程序模块。

其中模块输入信号为:clk_in,reset(复位信号,低电平有效) 输出信号为:clk_out 。

(要求:使用行为描述方式)(15分)。

(5)请用循环语句实现一个从t=1000ns 时刻(假定一个仿真时间单位为1ns )开始的 周期为100个仿真时间单位的时钟信号产生模块(10分)。

(6)编写一位全加器的verilog 的结构描述程序(15分)(7) 请写一个用于比较两个整数的大小的比较器程序(用Verilog 函数实现)(10分)(8)请给出如下图所示的一个4位移位寄存器结构的Verilog 结构描述程序(这个移位寄存器是由四个D 触发器(U1、U2、U3和U4)构成的。

其中seri_in 是这个移位寄存器的串行输入;clk 为移位时钟脉冲输入;clrb 为清零控制信号输入;Q[0] ~Q[3] 则是移位寄存器的并行输出)(15分)注意:模块调用时端口对应方式要求使用端口位置关联方式。

Q[3]Q[2]Q[1]Q[0](1)(2)module sel_from_three(q,sela,selb,a,b,c );input sela,selb,a,b,c;output q;reg q;always @(sela or selb or a or b or c)beginif (sela) q = a;else if (selb) q = b;else q = c;endendmoduleprimitive carry(cout,cin,a,b );output cout;input a ,b ,cin;table //cout 对应的table表项//cin a b :cout0 0 0 :0;0 0 1 :0;0 1 0 :0;0 1 1 :1:1 0 0 :0:1 0 1 :1;1 1 0 :1;1 1 1 :1;endtableendprimitiveprimitive summ(sum,cin,a,b );output sum;input a ,b ,cin;table //sum对应的table表项//cin a b :sum0 0 0 :0;0 0 1 :1;0 1 0 :1;0 1 1 :0:1 0 0 :1:1 0 1 :0;1 1 0 :0;1 1 1 :1;endtableendprimitive(4)module div(clk_in,reset,clk_out);parameter bitsize=3;parameter L=4;input clk_in,reset;output clk_out;reg [bitsize:1] count;reg clk_out;always @(posedge clk_in) beginif(!reset)count=0;elsebeginif(count<(L))count=count+1;elsecount=0;endclk_out=count[bitsize];endendmodule(5)module clk_gen(clk);output clk;initialbeginclk = 0;#1000;forever#50 clk = ~clk;//被指定循环执行的语句endendmodule(6)module full_adder (c_out,s_out,a_in,b_in,c_in );output c_out,s_out;input a_in,b_in,c_in;wire w1,w2,w3;parameter AND_DELAY=2;parameter OR_DELAY=2;parameter XOR_DELAY=4;//元件实例语句and #AND_DELAY A1 ( w1,a_in,b_in );and #AND_DELAY A2 ( w2,b_in,c_in );and #AND_DELAY A3 ( w3,c_in,a_in );or #OR_DELAY O1 ( cout,w1,w2,w3 );xor #XOR_DELAY X1 (s_out,a_in,b_in,c_in );endmodule(7)function compare_2;input a,b;reg result_2;beginassign result_2=(a>=b)?1:0; compare_2=result;endendfunction(8)module shifter (seri_in,clk,clrb,Q );input seri_in,clk,clrb;output [3:0] Q;// 模块实例语句,对D触发器模块进行调用d_flop U1 (Q[0],seri_in,clrb,clk ) ,U2 (Q[1],Q[0],clrb,clk ) ,U3 (Q[2],Q[1],clrb,clk ) ,U4 (Q[3],Q[2],clrb,clk ) ;endmodule。

《EDA技术与应用》A卷及答案

《EDA技术与应用》A卷及答案

汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。

2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。

3.可编程器件分为 fpga__ 和 _cpld______ 。

4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。

5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、___布尔_____。

6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______电路。

7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。

8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。

9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。

10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公司。

二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。

5、写出五种以上的VHDL的预定义数据类型。

三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。

verilog考试题

verilog考试题

verilog考试题西安电⼦科技⼤学考试时间分钟试题1.考试形式:闭(开)卷;2.本试卷共四⼤题,满分100分。

班级学号姓名任课教师⼀、选择题(每题2分,共18分)1. 下⾯哪个是可以⽤verilog语⾔进⾏描述,⽽不能⽤VHDL语⾔进⾏描述的级别?( A )(A) 开关级 (B)门电路级 (C) 体系结构级 (D) 寄存器传输级2.在verilog中,下列语句哪个不是分⽀语句?( D )(A) if-else (B) case (C) casez (D) repeat3.下列哪些Verilog的基本门级元件是多输出( D )(A) nand (B) nor (C) and (D) not4.Verilog连线类型的驱动强度说明被省略时,则默认的输出驱动强度为( B )(A) supply (B) strong (C) pull (D) weak5.元件实例语句“notif1 #(1:3:4,2:3:4,1:2:4) U1(out,in,ctrl);”中截⾄延迟的典型值为( B )(A) 1 (B) 2 (C) 3 (D) 46.已知“a =1b’1; b=3b'001;”那么{a,b}=( C )(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'1017.根据调⽤⼦模块的不同抽象级别,模块的结构描述可以分为(ABC )(A) 模块级 (B)门级 (C) 开关级 (D) 寄存器级8.在verilog语⾔中,a=4b'1011,那么 &a=(D )(A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'09.在verilog语⾔中整型数据与( C )位寄存器数据在实际意义上是相同的。

(A) 8 (B) 16 (C) 32 (D) 64⼆、简答题(2题,共16分)1.Verilog HDL语⾔进⾏电路设计⽅法有哪⼏种(8分)1、⾃上⽽下的设计⽅法(Top-Down)2、⾃下⽽上的设计⽅法(Bottom-Up)3、综合设计的⽅法2.specparam语句和parameter语句在参数说明⽅⾯不同之处是什么(8分)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中国矿业大学2010~2011学年第 二 学期 《HDL 语言应用与设计 》试卷(A )卷 考试时间:100 分钟 考试方式:闭卷
学院_________班级_____________姓名 ___________学号____________
一、综合程序设计题(100分)
(1)请简答或画出基于verilog HDL 的数字系统设计流程(10分) (2)写出下图所示3选1的多路选择器的verilog 程序实现(行为描述方式)(10分)
(3)写出一位全加器的UDP 描述(15分)
(4)请编写5分频的Verilog 程序模块。

其中模块输入信号为:clk_in,reset(复位信号,低电平有效) 输出信号为:clk_out 。

(要求:使用行为描述方式)(15分)。

(5)请用循环语句实现一个从t=1000ns 时刻(假定一个仿真时间单位为1ns )开始的 周期为100个仿真时间单位的时钟信号产生模块(10分)。

(6)编写一位全加器的verilog 的结构描述程序(15分)
(7) 请写一个用于比较两个整数的大小的比较器程序(用Verilog 函数实现)(10分)
(8)请给出如下图所示的一个4位移位寄存器结构的Verilog 结构描述程序(这个移位寄存器是由四个D 触发器(U1、U2、U3和U4)构成的。

其中seri_in 是这个移位寄存器的串行输入;clk 为移位时钟脉冲输入;clrb 为清零控制信号输入;Q[0] ~Q[3] 则是移位寄存器的并行输出)(15分)
注意:模块调用时端口对应方式要求使用端口位置关联方式。

Q[3]
Q[2]
Q[1]
Q[0]
(1)
(2)module sel_from_three(q,sela,selb,a,b,c );input sela,selb,a,b,c;output q;
reg q;
always @(sela or selb or a or b or c)
begin
if (sela) q = a;
else if (selb) q = b;
else q = c;
end
endmodule
primitive carry(cout,cin,a,b );
output cout;
input a ,b ,cin;
table //cout 对应的table表项//cin a b :cout
0 0 0 :0;
0 0 1 :0;
0 1 0 :0;
0 1 1 :1:
1 0 0 :0:
1 0 1 :1;
1 1 0 :1;
1 1 1 :1;
endtable
endprimitive
primitive summ(sum,cin,a,b );
output sum;
input a ,b ,cin;
table //sum对应的table表项
//cin a b :sum
0 0 0 :0;
0 0 1 :1;
0 1 0 :1;
0 1 1 :0:
1 0 0 :1:
1 0 1 :0;
1 1 0 :0;
1 1 1 :1;
endtable
endprimitive
(4)
module div(clk_in,reset,clk_out);
parameter bitsize=3;
parameter L=4;
input clk_in,reset;
output clk_out;
reg [bitsize:1] count;
reg clk_out;
always @(posedge clk_in) begin
if(!reset)
count=0;
else
begin
if(count<(L))
count=count+1;
else
count=0;
end
clk_out=count[bitsize];
end
endmodule
(5)module clk_gen(clk);output clk;
initial
begin
clk = 0;
#1000;
forever
#50 clk = ~clk;//被指定循环执行的语句end
endmodule
(6)
module full_adder (c_out,s_out,a_in,b_in,c_in );output c_out,s_out;
input a_in,b_in,c_in;
wire w1,w2,w3;
parameter AND_DELAY=2;
parameter OR_DELAY=2;
parameter XOR_DELAY=4;
//元件实例语句
and #AND_DELAY A1 ( w1,a_in,b_in );
and #AND_DELAY A2 ( w2,b_in,c_in );
and #AND_DELAY A3 ( w3,c_in,a_in );
or #OR_DELAY O1 ( cout,w1,w2,w3 );
xor #XOR_DELAY X1 (s_out,a_in,b_in,c_in );endmodule
(7)
function compare_2;
input a,b;
reg result_2;
begin
assign result_2=(a>=b)?1:0; compare_2=result;
end
endfunction
(8)
module shifter (seri_in,clk,clrb,Q );input seri_in,clk,clrb;
output [3:0] Q;
// 模块实例语句,对D触发器模块进行调用d_flop U1 (Q[0],seri_in,clrb,clk ) ,
U2 (Q[1],Q[0],clrb,clk ) ,
U3 (Q[2],Q[1],clrb,clk ) ,
U4 (Q[3],Q[2],clrb,clk ) ;endmodule。

相关文档
最新文档