大疆创新校园招聘笔试题-FPGA

合集下载

大疆产品经理笔试

大疆产品经理笔试

大疆产品经理笔试
抱歉,作为智能助手,我无法提供直接的笔试内容或参与面试过程。

然而,我可以为您提供一些关于大疆产品经理常见的笔试题目类型和所需的技能以供参考。

1. 产品案例分析:要求应聘者分析一个具体的产品案例,理解产品的功能和特点,找出产品的优点和不足之处,并提出改进方法。

2. 项目管理:应聘者可能会被要求解释项目的生命周期,如何制定和管理项目计划,以及如何解决项目中的问题。

3. 用户调研:应聘者需要展示他们熟悉用户调研的方法和工具,例如如何设计并进行用户访谈或用户调查,以了解用户需求和反馈。

4. 市场竞争分析:要求应聘者分析产品所处市场的竞争环境,包括主要竞争对手、产品优势和不足,以及未来趋势的预测。

5. 产品规划与路线图:应聘者可能会被要求制定产品规划和路线图,包括定义产品目标、优先级、时间表和资源分配。

6. 数据分析:应聘者可能会被要求使用Excel或其他数据分析
工具,处理和分析产品相关的数据,并从中提取有用的信息和见解。

此外,作为产品经理,您还需要掌握市场调研、用户需求分析、
产品规划和设计、项目管理等技能,以及与团队合作、沟通能力和解决问题的能力。

希望这些信息对您有所帮助,祝您在大疆产品经理笔试中取得成功!。

大疆振动笔试题

大疆振动笔试题

大疆振动笔试题
大疆振动笔试题可能涉及到不同的方面,但一般来说,这类试题可能会考察以下内容:
1. 振动理论:了解振动的基本原理,包括振动方程、频率、阻尼等。

2. 振动分析:能够使用相关软件或工具进行振动分析,如有限元分析、实验测试等。

3. 控制系统:了解控制系统的基本原理,包括开环和闭环控制系统、PID控制器等。

4. 材料力学:了解材料的力学性能,如弹性模量、泊松比等。

5. 信号处理:了解信号处理的基本原理,包括滤波、傅里叶变换等。

6. 问题解决能力:能够解决实际工作中遇到的振动问题,如机械臂振动、发动机振动等。

以上仅是大疆振动笔试题可能涉及的一些方面,具体内容还需根据实际需求和职位来确定。

如果您有更多关于大疆的笔试题目,可以进一步询问,以便获得更具体的帮助。

大疆性格测试题(3篇)

大疆性格测试题(3篇)

第1篇欢迎来到大疆性格测试!在这个充满科技与创新的领域,每个人的性格特点都至关重要。

通过本测试,我们将帮助你更好地了解自己的性格特质,从而在未来的职业发展、团队合作以及个人生活中找到更适合你的方向。

请认真阅读以下问题,并根据你的实际情况选择最符合你的选项。

准备好了吗?让我们开始吧!第一部分:决策风格1. 当面对一个重要的决策时,你通常会如何选择?A. 仔细分析各种可能的后果,然后做出最理性的选择。

B. 倾听周围人的意见,综合他们的看法后做出决策。

C. 靠直觉和灵感做出决策,不太考虑后果。

D. 在短时间内迅速做出决定,然后根据实际情况调整。

2. 在团队中,你更倾向于哪种决策方式?A. 集体讨论,共同决定。

B. 由团队中的领导者做出决策,然后大家执行。

C. 各自负责一部分,然后汇总结果。

D. 领导者征求大家的意见,但最终决策权在领导者手中。

3. 当你的决策与团队中的其他成员意见不一致时,你会怎么做?A. 坚持自己的观点,争取大家的支持。

B. 考虑其他成员的意见,尝试找到折中方案。

C. 重新审视自己的决策,看是否有更好的选择。

D. 不再争论,按照团队多数人的意见执行。

第二部分:创新与创造力4. 你如何看待创新?A. 创新是推动社会进步的重要力量。

B. 创新很重要,但需要建立在传统基础之上。

C. 创新是浪费时间的行为,应该遵循传统。

D. 创新是个人成功的关键,但需要团队合作。

5. 在工作中,你更倾向于哪种创新方式?A. 独立思考,提出全新的想法。

B. 在现有基础上进行改进,增加效率。

C. 跟随潮流,模仿他人的创新成果。

D. 结合团队力量,共同创造出新的解决方案。

6. 当遇到问题时,你会如何解决?A. 寻找现有的解决方案,看是否能解决。

B. 分析问题的根源,寻找创新的解决方案。

C. 向他人求助,寻求帮助。

D. 忽略问题,寻找其他更感兴趣的事情。

第三部分:沟通与协作7. 在团队中,你更擅长哪种沟通方式?A. 直接明了,表达自己的观点。

大疆振动与强度笔试题

大疆振动与强度笔试题

大疆振动与强度笔试题
大疆振动与强度笔试题可能涉及以下内容:
1. 振动理论:考察对振动的基本原理的理解,包括振动方程、频率、阻尼等。

2. 振动分析:考察是否能使用相关软件或工具进行振动分析,如有限元分析、实验测试等。

3. 控制系统:考察对控制系统的基本原理的理解,包括开环和闭环控制系统、PID控制器等。

4. 材料力学:考察对材料的力学性能的理解,如弹性模量、泊松比等。

5. 强度分析:考察对结构强度的分析能力,如应力、应变等。

6. 失效分析:考察对产品失效原因的分析能力,如疲劳、断裂等。

7. 实验设计:考察对实验设计的理解,包括实验目的、实验方法、实验数据处理等。

8. 安全规范:考察对相关安全规范的理解,如机械安全、电气安全等。

9. 团队协作:考察团队合作能力和沟通表达能力。

具体的大疆振动与强度笔试题可以参考相关论坛或者网站上的题库。

FPGAIC笔试——大疆

FPGAIC笔试——大疆

FPGAIC笔试——⼤疆1.对于同步fifo,每100个cycle可以写⼊80个数据,每10个cycle可以读出8个数据,fifo的深度⾄少为?写时钟频率 w_clk,读时钟频率 r_clk,写时钟周期⾥,每B个时钟周期会有A个数据写⼊FIFO读时钟周期⾥,每Y个时钟周期会有X个数据读出FIFO则,FIFO的最⼩深度是?计算公式如下:fifo_depth = burst_length - burst_length * X/Y * r_clk/w_clk例举说明:如果100个写时钟周期可以写⼊80个数据,10个读时钟可以读出8个数据。

令wclk=rclk ,考虑背靠背(20个clk不发数据+80clk发数据+80clk发数据+20个clk不发数据的200个clk)代⼊公式可计算FIFO的深度fifo_depth = 160-160X(80%)=160-128= 32拓展:如果令wclk=200mhz,改为100个wclk⾥写⼊40个,rclk=100mhz,10个rclk⾥读出8个。

那么fifo深度为48计算如下fifo_depth =80-80X(80%)X(100/200)=80-32=482.如果只使⽤2选1mux完成异或逻辑,⾄少需要⼏个mux?【解】对于异或 F=A^B=AB'+A'B。

即组合逻辑 assign F=A?~B:B; ⽤外部2选1选择器表⽰三⽬运算,内部嵌套⼀个选择器做反相器。

如下图:同理,对于同或F=A~^B=AB+A'B'。

即assign F=A?B:~B; 将上图外部选择器01位置交换即可。

3.在对信号采样过程之前抗混滤波,其作⽤是什么?它选⽤何种滤波器?其截⽌频率如何确定?根据“奈奎斯特采样定律”:在对模拟信号进⾏离散化时,采样频率f2⾄少应2倍于被分析的信号的最⾼频率f1,即:f2≥2 f1;否则可能出现因采样频率不够⾼,模拟信号中的⾼频信号折叠到低频段,出现虚假频率成分的现象。

【机试题】2019大疆嵌入式笔试题A卷(附超详细解答)

【机试题】2019大疆嵌入式笔试题A卷(附超详细解答)

前不久的大疆嵌入式线上笔试,可能是因为最近只是在做毕设项目,还没有来得及认真系统复习,直接崩了。

就凭借着记忆,把一些记得住的笔试题分享一下,作下记录。

整个大疆嵌入式线上笔试,分为选择题(单选题、多选题)、填空题、简答题、编程题。

也没有将所有的题目都记得,就分成填空选择题、简答题和编程题三块来介绍吧。

填空选择题1、ARM指令和Thumb指令。

(选择题)解答:在ARM的体系结构中,可以工作在三种不同的状态,一是ARM状态,二是Thumb状态及Thumb-2状态,三是调试状态。

而ARM状态和Thumb状态可以直接通过某些指令直接切换,都是在运行程序,只不过指令长度不一样而已。

ARM状态:arm处理器工作于32位指令的状态,所有指令均为32位;Thumb状态:arm执行16位指令的状态,即16位状态;thumb-2状态:这个状态是ARM7版本的ARM处理器所具有的新的状态,新的thumb-2内核技术兼有16位及32位指令,实现了更高的性能,更有效的功耗及更少地占用内存。

总的来说,感觉这个状态除了兼有arm和thumb的优点外,还在这两种状态上有所提升,优化;调试状态:处理器停机时进入调试状态。

也就是说:ARM状态,此时处理器执行32位的字对齐的ARM指令;Thumb状态,此时处理器执行16位的,半字对齐的THUMB指令。

ARM状态和Thumb状态切换程序:从ARM到Thumb:LDR R0,=lable+1BX R0(状态将寄存器的最低位设置为1,BX指令、R0指令将进入thumb状态);从ARM到Thumb:LDR R0,=lable BX R0(寄存器最低位设置为0,BX指令、R0指令将进入arm状态)。

当处理器进行异常处理时,则从异常向量地址开始执行,将自动进入ARM状态。

关于这个知识点还有几个注意点:ARM处理器复位后开始执行代码时总是只处于ARM状态;Cortex-M3只有Thumb-2状态和调试状态;由于Thumb-2具有16位/32位指令功能,因此有了thumb-2就无需Thumb了。

大疆光学工程师笔试题型

大疆光学工程师笔试题型

大疆光学工程师笔试题目通常涉及光学原理、光学设计和应用、计算机视觉和机器学习、数学和物理等多个领域的知识。

具体的题型可能包括:
1. 选择题:考察光学基本原理、光学元件、光学系统设计等方面的知识。

2. 填空题:考察光学设计和应用、光学测量和检测等方面的知识。

3. 简答题:考察对光学原理、光学设计和应用的理解和掌握程度,可能需
要简要解释或计算某些概念或公式。

4. 计算题:考察数学和物理基础,可能需要计算光学系统的参数、像差、光学性能等。

5. 绘图题:考察对光学元件、光学系统的理解,可能需要绘制光学系统图、
光学元件结构图等。

以上是大疆光学工程师笔试题目可能涉及的题型,具体题型和难度可能会根据招聘需求和应聘者的背景而有所不同。

建议应聘者在准备笔试前仔细阅读大疆的招聘要求,了解所需的知识和技能,并提前进行针对性的复习和练习。

FPGA经典笔试题+答案

FPGA经典笔试题+答案

1、FPGA结构一般分为三部分:可编程逻辑块(CLB)、可编程I/O模块和可编程内部连线。

2 CPLD的内部连线为连续式布线互连结构,任意一对输入、输出端之间的延时是固定;FPGA的内部连线为分段式布线互连结构,各功能单元间的延时不定(不可预测)。

3 大规模可编程器件主要有CPLD和FPGA两类,其中CPLD通过可编程乘积项逻辑实现其逻辑功能。

基于SRAM的FPGA器件,每次上电后必须进行一次配置。

FPGA内部阵列的配置一般采用在电路可重构技术,编程数据保存在静态存储器(SRAM) ,掉电易失。

4 目前世界上有十几家生产CPLD/FPGA的公司,最大的两家是:Altera,Xilinx。

5 硬件描述语言(HDL)是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言,它的种类很多,如VHDL、Verilog HDL、 AHDL6 WHEN_ELSE条件信号赋值语句和IF_ELSE顺序语句的异同WHEN_ELSE条件信号赋值语句中无标点,只有最后有分号;必须成对出现;是并行语句,必须放在结构体中。

IF_ELSE顺序语句中有分号;是顺序语句,必须放在进程中7 可编程逻辑器件设计输入有原理图输入、硬件描述语言输入和波形输入三种方式。

原理图输入方式是一种最直接的设计描述方式,硬件描述语言的突出优点是:* 语言与工艺的无关性;语言的公开可利用性,便于实现大规模系统的设计;* 具有很强的逻辑描述和仿真功能,而且输入效率高,在不同的设计输入库之间的转换非常方便,用不着对底层的电路和PLD结构的熟悉。

波形设计输入适用于时序逻辑和有重复性的逻辑函数。

8 用VHDL/Veilog HDL语言开发可编程逻辑电路的完整流程:文本编辑→功能仿真→逻辑综合→布局布线→时序仿真。

* 所谓综合,就是根据设计功能和实现该设计的约束条件(如面积、速度、功耗和成本等),将设计输入转换成满足要求的电路设计方案,该方案必须同时满足与其的功能和约束条件。

fpga面试题目及答案(3篇)

fpga面试题目及答案(3篇)

第1篇1. FPGA是什么?FPGA(现场可编程门阵列)是一种可编程逻辑器件,它可以根据用户的需求进行编程,实现各种数字电路功能。

FPGA具有高灵活性、高集成度、低功耗等优点,广泛应用于通信、工业控制、消费电子等领域。

答案:FPGA是一种可编程逻辑器件,可以根据用户需求进行编程,实现各种数字电路功能。

2. VHDL和Verilog的区别是什么?VHDL和Verilog都是硬件描述语言,用于描述数字电路和系统。

两者在语法和功能上存在一些差异:- VHDL是一种强类型语言,具有丰富的数据类型和操作符,易于编写复杂的数字电路描述。

- Verilog是一种弱类型语言,数据类型较为简单,但具有简洁的语法,便于快速编写代码。

答案:VHDL和Verilog的区别在于数据类型和语法,VHDL是强类型语言,Verilog 是弱类型语言。

3. 什么是FPGA的时钟域交叉问题?FPGA的时钟域交叉问题是指当多个时钟域的信号进行交互时,可能会出现信号竞争、数据丢失等现象,导致系统性能下降或功能失效。

答案:FPGA的时钟域交叉问题是指当多个时钟域的信号进行交互时,可能会出现信号竞争、数据丢失等现象。

4. 如何处理FPGA的时序问题?处理FPGA的时序问题主要包括以下几个方面:- 设计合理的时钟树,确保时钟信号在各个模块之间稳定传播。

- 合理设置时钟分频、倍频等参数,避免时钟抖动。

- 优化模块设计,减少信号路径长度,降低信号传播延迟。

- 进行时序仿真,确保满足设计要求。

答案:处理FPGA的时序问题主要包括设计合理的时钟树、设置时钟参数、优化模块设计和进行时序仿真。

5. FPGA的配置过程是怎样的?FPGA的配置过程主要包括以下几个步骤:- 编写配置文件:使用VHDL或Verilog等硬件描述语言编写配置文件,描述FPGA 内部电路的结构和功能。

- 编译配置文件:使用FPGA厂商提供的编译工具对配置文件进行编译,生成门级网表。

大疆创新硬件笔试题

大疆创新硬件笔试题

产生EMC问题主要通过两个途径:一个是空间电磁波干扰的形式;另一个是通过传导的形式,换句话说,产生EMC问题的三个要素是:电磁干扰源、耦合途径、敏感设备。

传导、辐射骚扰源-----------------------------〔途径〕------------------------------ 敏感受体MOS的并联使用原那么:1.并联的MOS必须为同等规格,最好是同一批次的。

2.并联的MOS的驱动电路的驱动电阻和放电电路必须是独立分开的,不可共用驱动电阻和放电电阻。

3.PCB走线尽量保证对称,减小电流分布不均光耦一般会有两个用途:线性光耦和逻辑光耦,如果理解.工作在开关状态的光耦副边三极管饱和导通,管压降<0.4V,Vout约等于Vcc〔Vcc-0.4V左右〕,Vout 大小只受Vcc大小影响。

此时Ic<If*CTR,此工作状态用于传递逻辑开关信号。

工作在线性状态的光耦,Ic=If*CTR,副边三极管压降的大小等于Vcc-Ic*RL,Vout=Ic*RL=(Vin-1.6V)/Ri * CTR*RL,Vout 大小直接与Vin 成比例,一般用于反应环路里面(1.6V 是粗略估计,实际要按器件资料,后续1.6V同) 。

2 光耦CTR概要:1)对于工作在线性状态的光耦要根据实际情况分析;2)对于工作在开关状态的光耦要保证光耦导通时CTR 有一定余量;3)CTR受多个因素影响。

2.1 光耦能否可靠导通实际计算举例分析,例如图.1中的光耦电路,假设Ri = 1k,Ro = 1k,光耦CTR= 50%,光耦导通时假设二极管压降为1.6V,副边三极管饱和导通压降Vce=0.4V。

输入信号Vi 是5V的方波,输出Vcc 是3.3V。

Vout 能得到3.3V 的方波吗.我们来算算:If = (Vi-1.6V)/Ri = 3.4mA副边的电流限制:Ic’ ≤ CTR*If = 1.7mA假设副边要饱和导通,那么需要Ic’ = (3.3V – 0.4V)/1k = 2.9mA,大于电流通道限制,所以导通时,Ic会被光耦限制到1.7mA,Vout = Ro*1.7mA = 1.7V所以副边得到的是1.7V 的方波。

大疆校招笔试题及参考(一个小编程题)

大疆校招笔试题及参考(一个小编程题)

大疆科技的校招笔试题(的一个小编程题)底下的答案仅供参考。

//两个小车,走一步能量消耗1,方向为1向右,-1为向左,首先输入路途长度,然后输入两行,每行第一个为小车的能量,第二个位小车起始位置,第三个为方向。

求几个小车可以走出去?#include <iostream>#include <vector>using namespace std;int Many(vector<int>&ve,intlen){if(ve.size() < 6 || len<= 0)return 0;int end = 0;intpower_a = ve[0];intlocal_a = ve[1];intdirec_a = ve[2];intpower_b = ve[3];intlocal_b = ve[4];intdirec_b = ve[5];while(power_a> 0 || power_b> 0){if(direc_a == direc_b){if(direc_a == 1){if(local_a + 1 == local_b&&power_b == 0){if(power_a> 1){local_a++;local_b++;power_a -= 2;}}if(power_a> 0){local_a++;power_a--;}if(power_b> 0){local_b++;power_b--;}}else{if(local_a + 1 == local_b&&power_a == 0){if(power_b> 1){local_a--;local_b--;power_a -= 2;}}if(power_a> 0){local_a--;power_a--;}if(power_b> 0){local_b--;power_b--;}}}else{if(local_a< 0 || local_a> len-1)power_a = 0;if(local_b< 0 || local_b> len-1)power_b = 0;if(abs(local_a - local_b) == 2 &&direc_a == 1 &&direc_b == -1) {power_a -= 2;power_b -= 2;else{if(abs(local_a - local_b) == 1) {if(direc_a == 1 &&direc_b == -1) {local_a--;local_b++;power_a -= 2;power_b -= 2;}else{local_a --;local_b++;power_a --;power_b++;}}else{if(direc_a == -1){local_a --;power_a--;}else{local_a++;power_a--;}if(direc_b == -1){local_b --;power_b--;}else{local_b++;power_b--;}}}}if(local_a< 0 || local_a> len-1)end++;if(local_b< 0 || local_b> len-1)end++;return end;}int main(){vector<int>ve;intlen;cin>>len;inttmp;for(inti = 0; i< 6; ++i){cin>>tmp;ve.push_back(tmp);}cout<<Many(ve,len)<<endl;}//一共N种花,插花需要每次选M种,每种R支。

大疆面试题目(3篇)

大疆面试题目(3篇)

第1篇一、自我介绍及项目经历1. 请用两分钟时间,做一个简短的自我介绍,包括你的教育背景、工作经历、个人特长以及职业规划。

2. 请简要介绍你在大学期间或实习期间参与过的与无人机、航拍、影像处理等相关项目,包括项目背景、你的角色、项目成果等。

3. 请谈谈你在项目过程中遇到的最大挑战,以及你是如何克服这个挑战的。

4. 请描述一次你在团队中担任领导者的经历,包括团队规模、任务目标、实施过程以及最终成果。

5. 请谈谈你在实习或工作过程中,如何与团队成员沟通、协作,以确保项目顺利进行。

二、Android开发基础知识1. 请简要描述Android系统架构,包括四大组件、五大核心系统服务等。

2. 请说明Android系统中的Activity、Service、BroadcastReceiver和ContentProvider的作用及区别。

3. 请解释Android中的布局(Layout)系统,包括常用布局方式及其特点。

4. 请简要介绍Android中的动画系统,包括属性动画和帧动画。

5. 请解释Android中的进程和线程,以及它们在应用开发中的作用。

三、Android UI开发1. 请描述Android中View的绘制流程,包括触摸事件分发、绘制和绘制后的操作。

2. 请说明如何解决Android中常见的滑动冲突问题,包括外部拦截法和内部拦截法。

3. 请简要介绍Android中的自定义View,包括自定义View的创建和绘制流程。

4. 请谈谈Android中常见的UI优化方法,如缓存、减少布局层级等。

5. 请描述如何实现Android中的动态布局,包括动态添加、删除和修改View。

四、Android开发模式1. 请解释MVC、MVP和MVVM三种开发模式之间的区别,以及它们在Android开发中的应用场景。

2. 请说明View与ViewModel之间通信的方式,包括DataBinding和其他方法。

3. 请谈谈面向对象编程与面向过程编程的区别,以及在Android开发中如何选择合适的编程方式。

大疆在线测评题型

大疆在线测评题型

大疆在线测评题型Title: Types of Online Evaluation Questions for DJI。

As one of the leading drone manufacturers in the world, DJI has been providing high-quality drones and aerial imaging solutions for various industries and individuals. To ensure the satisfaction and effectiveness of their products, DJI conducts online evaluations for their products and services. In this article, we will discuss the different types of online evaluation questions that DJI uses to gather feedback from their customers.1. Multiple choice questions。

Multiple choice questions are the most common type of evaluation questions used by DJI. These questions provide a set of options for customers to choose from, with only one correct answer. Multiple choice questions are easy to answer and provide quick feedback for DJI. These questions can be used to evaluate the customer's knowledge of theproduct, the level of satisfaction with the product, and the overall experience of using the product.Example question: What is the maximum flight time of the DJI Mavic Air 2?a. 25 minutes。

大疆服务运营培训师笔试题

大疆服务运营培训师笔试题

大疆服务运营培训师笔试题1).已知相机其中一种噪声模型为N(x)=sqrt(siX+oi),以下说法正确的是()A.SI表示readout noise,OI表示photon noiseB.OI表示readout noise,SI表示photon noiseC.SI服从泊松分布,OI服从高斯分布D.OI服从泊松分布,SI服从高斯分布2).关于sigmoid激活函数,以下说法正确的是()A.输出是以0为中心B.具有软饱和性C.容易出现梯度消失D.函数严格单调3).以下哪些属于图像质量的是()A.灰度B.感光度C.饱和度D.锐度E.宽容度3.填空题1).卷积神经网络广泛应用于图像处理,如果一张尺寸为2M,通道数为3的彩色图像通过一个3*3*4的带偏置卷积层提取同样尺寸的特征,需要()M次乘法计算,该卷积层有()个参数。

2).仿射变换改变____(交比/平行/形状),2维仿射变换具有_____个自由度,需要_____个点对求解。

3).相机对焦在超焦距的位置时,可以获得最深的景深,如果此时的超焦距为2m,则可以清晰成像的最近距离是____m。

4).已知抓娃娃机,抓中的概率用P表示,P在0-1概率密度函数都是二次函数,顶点在0.5,且没有人将P设置为1,该娃娃机的概率密度函数为f(P)=__________。

5).高斯卷积、双边滤波、引导滤波、NLM和BM3D都是常用的图像滤波算法,其中不能保边的是_____,属于频域方法的是______,计算复杂度和窗口大小无关的是______。

4.问答题1).使用高斯滤波器对一块平坦区域进行降噪,(1)如果需要将SNR 从30dB提升到42dB,请问对Sigma的要求是多少?(2)请问此时高斯核的尺寸选择多大?(3)如果改用box filter,核尺寸选多大?2).处理器拥有一级用于缓存数据读写的D-cache,大小为16KB,64byte line size,在此处理器上对一张8bit单通道图像进行7×7滤波(该滤波核无法进行分解):(1)简述Cache的作用;(2)先沿X轴还是先沿Y轴计算,数据读取的行为有什么差别?在非边界处,数据第一次读取时的cache命中率分别是?3).TOF(Time Of Flight)是一种利用光的飞行时间测距的方法,广泛应用于智能手机、机器人视觉及其他消费和工业类电子设备:(1)请简述dTOF和iTOF的基本原理;(2)对于iTOF测距,如果调制频率为60MHZ,最大测量距离是多少?(3)iTOF测距为了解决距离模糊问题,通常会采用双频测距,假设频率为40MHZ的测量相位为π,频率为60MHZ的测量相位为π/2,请计算实际目标距离。

(完整)FPGA笔试题及答案总结,推荐文档

(完整)FPGA笔试题及答案总结,推荐文档

第 1 章 FPGA基础知识1.1 FPGA设计工程师努力的方向SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。

随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA 设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。

芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。

另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低。

高速串行IO的应用,也丰富了FPGA 的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。

总之,学无止境,当掌握一定概念、方法之后,就要开始考虑FPGA其它方面的问题了。

1.2 简述FPGA等可编程逻辑器件设计流程系统设计电路构思,设计说明与设计划分,电路设计与输入(HDL代码、原理图),功能仿真与测试,逻辑综合,门级综合,逻辑验证与测试(综合后仿真),布局布线,时序仿真,板级验证与仿真,加载配置,在线调试。

常用开发工具(Altera FPGA)HDL语言输入:Text Editor(HDL语言输入),还可以使用Ultra Edit 原理图输入:Schematic Editor IP Core输入:MegaWinzad综合工具:Synplify/Synplify Pro,Qaustus II内嵌综合工具仿真工具:ModelSim实现与优化工具:Quartus II集成的实现工具有Assignment Editor(约束编辑器)、LogicLock(逻辑锁定工具)、PowerFit Fitter(布局布线器)、Timing Analyzer(时序分析器,STA分析工具)、Floorplan Editor(布局规划器)、Chip Editor(底层编辑器)、Design Space Explorer(设计空间管理器)、Design Assistant(检查设计可靠性)等。

FPGA工程师笔试试题

FPGA工程师笔试试题

FPGA工程师面试试题1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系.异步逻辑是各时钟之间没有固定的因果关系.3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能.在硬件上,要用oc门来实现,由于不用 oc门可能使灌电流过大,而烧坏逻辑门. 同时在输出端口应加一个上拉电阻.4、什么是Setup 和Holdup时间?(汉王笔试)5、setup和holdup时间,区别.(南山之桥)6、解释setup time和hold time的定义和在时钟信号延迟时的变化.(未知)7、解释setup和hold time violation,画图说明,并说明解决办法.(威盛VIA2003.11.06 上海笔试试题)Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求.建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间.输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器. 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间.如果hold time 不够,数据同样不能被打入触发器. 建立时间(Setup Time)和保持时间(Hold time).建立时间是指在时钟边沿前,数据信号需要保持不变的时间.保持时间是指时钟跳变边沿后数据信号需要保持不变的时间.如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现 metastability的情况.如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量.8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除.(仕兰微电子)9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争.产生毛刺叫冒险.如果布尔式中有相反的信号则可能产生竞争和冒险现象.解决方法:一是添加布尔式的消去项,二是在芯片外部加电容. 10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的.CMOS输出接到TTL是可以直接互连.TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V.11、如何解决亚稳态.(飞利浦-大唐笔试)亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态.当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上.在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去.12、IC设计中同步复位与异步复位的区别.(南山之桥)13、MOORE 与 MEELEY状态机的特征.(南山之桥)14、多时域设计中,如何处理信号跨时域.(南山之桥)15、给了reg的setup,hold时间,求中间组合逻辑的delay范围.(飞利浦-大唐笔试)Delay < period - setup – hold16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min.组合逻辑电路最大延迟为T2max,最小为T2min.问,触发器D2的建立时间T3和保持时间应满足什么条件.(华为)17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式.(威盛VIA 2003.11.06 上海笔试试题)18、说说静态、动态时序模拟的优缺点.(威盛VIA 2003.11.06 上海笔试试题)19、一个四级的Mux,其中第二级信号为关键信号如何改善timing.(威盛VIA2003.11.06 上海笔试试题)20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径.(未知)21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等.(未知)22、卡诺图写出逻辑表达使.(威盛VIA 2003.11.06 上海笔试试题)23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和.(威盛)24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09)25、To design a CMOS invertor with balance rise and fall time,please definethe ration of channel width of PMOS and NMOS and explain?26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)27、用mos管搭出一个二输入与非门.(扬智电子笔试)28、please draw the transistor level schematic of a cmos 2 input AND gate andexplain which input has faster response for output rising edge.(less delaytime).(威盛笔试题circuit design-beijing-03.11.09)29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路.(Infineon笔试)30、画出CMOS的图,画出tow-to-one mux gate.(威盛VIA 2003.11.06 上海笔试试题)31、用一个二选一mux和一个inv实现异或.(飞利浦-大唐笔试)32、画出Y=A*B+C的cmos电路图.(科广试题)33、用逻辑们和cmos电路实现ab+cd.(飞利浦-大唐笔试)34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E).(仕兰微电子)35、利用4选1实现F(x,y,z)=xz+yz’.(未知)36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简).37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形.(Infineon笔试)38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)39、用与非门等设计全加法器.(华为)40、给出两个门电路让你分析异同.(华为)41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制.(未知)43、用波形表示D触发器的功能.(扬智电子笔试)44、用传输门和倒向器搭一个边沿触发器.(扬智电子笔试)45、用逻辑们画出D触发器.(威盛VIA 2003.11.06 上海笔试试题)46、画出DFF的结构图,用verilog实现之.(威盛)47、画出一种CMOS的D锁存器的电路图和版图.(未知)48、D触发器和D锁存器的区别.(新太硬件面试)49、简述latch和filp-flop的异同.(未知)50、LATCH和DFF的概念和区别.(未知)51、latch与register的区别,为什么现在多用register.行为级描述中latch 如何产生的.(南山之桥)52、用D触发器做个二分颦的电路.又问什么是状态图.(华为)53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)57、用D触发器做个4进制的计数.(华为)58、实现N位Johnson Counter,N=5.(南山之桥)59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)60、数字电路设计当然必问Verilog/VHDL,如设计计数器.(未知)61、BLOCKING NONBLOCKING 赋值的区别.(南山之桥)62、写异步D触发器的verilog module.(扬智电子笔试)module dff8(clk , reset, d, q);input clk;input reset;input [7:0] d;output [7:0] q;reg [7:0] q;always @ (posedge clk or posedge reset)if(reset)q <= 0;elseq <= d;endmodule63、用D触发器实现2倍分频的Verilog描述? (汉王笔试)module divide2( clk , clk_o, reset);input clk , reset;output clk_o;wire in;reg out ;always @ ( posedge clk or posedge reset)if ( reset)out <= 0;elseout <= in;assign in = ~out;assign clk_o = out;endmodule64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑.(汉王笔试) PAL,PLD,CPLD,FPGA.module dff8(clk , reset, d, q);input clk;input reset;input d;output q;reg q;always @ (posedge clk or posedge reset)if(reset)q <= 0;elseq <= d;endmodule65、请用HDL描述四位的全加法器、5分频电路.(仕兰微电子)66、用VERILOG或VHDL写一段代码,实现10进制计数器.(未知)67、用VERILOG或VHDL写一段代码,实现消除一个glitch.(未知)68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的).(威盛VIA 2003.11.06 上海笔试试题)69、描述一个交通信号灯的设计.(仕兰微电子)70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱.(扬智电子笔试)71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数. (1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga 设计的要求.(未知)72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计工程中可使用的工具及设计大致过程.(未知)73、画出可以检测10010串的状态图,并verilog实现之.(威盛)74、用FSM实现101101的序列检测模块.(南山之桥)a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0.例如a: 0001100110110100100110b: 0000000000100100000000请画出state machine;请用RTL描述其state machine.(未知) 75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写).(飞利浦-大唐笔试)76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号).(飞利浦-大唐笔试)77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x为4位二进制整数输入信号.y为二进制小数输出,要求保留两位小数.电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程.(仕兰微电子)78、sram,falsh memory,及dram的区别?(新太硬件面试)79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了.(降低温度,增大电容存储容量)(Infineon笔试)80、Please draw schematic of a common SRAM cell with 6 transistors,point outwhich nodes can store data and which node is word line control? (威盛笔试题circuit design-beijing-03.11.09)81、名词:sram,ssram,sdram名词IRQ,BIOS,USB,VHDL,SDRIRQ: Interrupt ReQuestBIOS: Basic Input Output SystemUSB: Universal Serial BusVHDL: VHIC Hardware Description LanguageSDR: Single Data Rate压控振荡器的英文缩写(VCO).动态随机存储器的英文缩写(DRAM).名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡1 什么是Setup 和Holdup时间?2 什么是竞争与冒险现象?怎样判断?如何消除?3 用D触发器实现2倍分频的逻辑电路? .......4 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?5 什么是同步逻辑和异步逻辑?6 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档