数字式电子秒表设计
数电课程设计:电子秒表
数电课程设计:电子秒表
电子秒表是一种常见的计时工具,它通过使用电子元件实现高精度的计时功能。
下面是一个基于数电的电子秒表的设计方案:
1. 运算部分设计:
- 使用一个1Hz的时钟源,可以通过计数器或者振荡器实现。
- 使用一个可重置的二进制计数器,位数根据需要的计时范
围确定。
例如,如果计时范围为1小时,可使用一个4位二进制计数器。
- 计时开始/停止控制逻辑:这可以通过一个开关电路实现,可以使用一个门电路或者触发器电路。
- 计数器重置逻辑:可以使用一个按钮或者开关来重置计数
器的值。
2. 显示部分设计:
- 使用数码管或者液晶显示器来显示计时结果。
数码管可以
使用共阳或者共阴的7段数码管。
- 使用译码器将计数器的二进制输出转换为译码信号,用于
控制数码管显示的数字。
3. 其他功能:
- 可以添加一个暂停功能,通过一个按钮或者开关来实现。
当计时中按下暂停按钮时,计时器会停止计数,再次按下暂停
按钮时,计时器继续计数。
- 可以添加一个拆表功能,通过一个按钮或者开关来实现。
按下拆表按钮时,计时器会记录当前的计时值,然后重置为0,再次按下拆表按钮时,计时器恢复原来的计时状态。
该设计方案中的电子秒表可根据实际需求进行调整和扩展,例如增加更多的功能按钮、调整计时范围和精度等。
同时,需要注意电路的稳定性和可靠性,以及对供电电源和信号的处理。
期末大作业 数字秒表设计
if cnt0="1001" then
co<='1';
cnt0:="0000";
elsif cnt0<"1001" then
cnt0:=cnt0+1;
co<='0';
end if;
else cnt0:=cnt0;
end if;
end if;
secm0<=cnt0;
end process;
time:in std_logic_vector(23 downto 0);
h1,h0,m1,m0,s1,s0:in std_logic_vector(3 downto 0);
qlk:out std_logic);
end nz;
architecture sss_arc of nz is
begin
process(clk)
use ieee.std_logic_unsigned.all;
entity MINUTE is
port(clk,en,clr:in std_logic;
min1,min0:out std_logic_vector(3 downto 0);
co:out std_logic);
end MINUTE;
architecture MIN of MINUTE is
期末大作业数字秒表设计
一、实验任务及要求
设计用于体育比赛用的数字秒表,要求:
1、及时精度大雨1/1000秒,计数器能显示1/1000秒时间,提供给计时器内部定时的始终频率为12MHz;计数器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒。
数字式秒表设计
1 设计目的1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。
4、培养学生的创新能力。
2 设计要求1.秒表由2位七段LED显示器显示,其中1位显示“s”,二位显示“0.1s”,显示分辩率为0.1 s;2.计时最大值为9.9s;3.计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;4.主要单元电路和元器件参数计算、选择;5.画出总体电路图;6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。
焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。
7.调试电路8.电路性能指标测试9.提交格式上符合要求,内容完整的设计报告3总体设计3.1 工作流程图图 1 工作流程图图1中1单元为用集成与非门74LS00构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位,复位的功能。
图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。
图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。
图1中4单元74LS160构成的计数器/分频器图1中5单元译码显示单元3.2 原理图:图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。
其中单元1为基本RS 触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。
基本RS 触发器单稳态触发器译码显示器555定时器计数/分频器图2 原理电路图本电路中使用两个基本RS触发器提供置位、复位、和清零信号,555定时器提供时钟脉冲信号,三块74LS160作为译码/分频单元,有效、简洁地完成了秒表所具备的所有功能(清零、启动计时、暂停计时及继续计时)。
电子秒表9分59秒99毫秒
《电子技术》课程设计报告题目数字式秒表学院(部)太原科技大学华科学院专业自动化班级自动化102201H学生姓名孔祥云学号************月日至月日共周指导教师(签字)目录一.设计任务和要求二.总体框图三.选择器件四.功能模块五.总体设计电路图六.课程总结七.故障分析数字式秒表一.设计要求:1、秒表由五位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辨率为0.01s,计时范围为0~9分59秒99毫秒;2、具有清零、启动计时、暂停计时及继续计时等功能;3、控制开关为两个;启动(继续)/暂停计时开关和复位开关。
二.总体框图由上图数字式秒表电路的结构框图可知,整个电路由方波信号发生器,分频电路,计数显示电路,启停电路与清零电路,五部分组成。
设计时,计数显示电路组成时间显示电路,键控部分由于控制各部分电路,故在单元电路设计分析时省去。
故该电路可以分为脉冲信号发生器、分频电路、计数器电路、时间显示电路、控制电路五大单元电路三.选择器件1.74LS160计数进74LS160D的引脚如右图2-3-5所示,从图中可以看到74LS160D共有16个引脚吗,其中有Cp脉冲输入引脚clk(下降沿有效),LOAD为预置数控制端(低电平有效),CLR为异步清零端(低电平有效), A、B、C、D为预置数输入端,ENP和ENT是计数使能端(高电平有效),RCO是进位输出端,QD、QC、QB、QA分别是计数输出位,其工作原理图如图2-3-6所示.74LS160管脚分布图图2-3-6 74LS160计数器工作原理图1)异步清零:当CLR端输入为低电平时候,其它输入端不管输入什么值,计数器将直接清零,也就是说输出的QD、QC、QB、QA为0000.2)同步预置数:当CLR端输入高电平,LOAD端输入低电平时,且有Cp脉冲下降沿作用时,完成将输入端DCBA的数据置入计数器操作,使Q D Q C Q B Q A=DCBA.由于这个操作需要CP下降沿同步,所以称为同步预置数。
数字电子技术课程设计--电子秒表的设计
数字电子技术课程设计--电子秒表的设计数字电子技术课程设计课程设计题目:电子秒表的设计目录摘要 (2)1引言 (3)1.1设计目的 (3)1.2技术要求 (3)1.2.1基本要求 (3)1.2.2提高要求 (3)1.3设计内容 (3)1.4工作原理 (3)2设计框图 (4)3各个部分功能简介 (5)3.1按键去抖电路 (5)3.2控制器电路 (6)3.3时钟产生电路 (8)3.4计时电路 (9)3.5显示译码电路 (10)3.6 50000分频电路 (11)4硬件仿真 (13)4.1顶层逻辑图 (13)4.2LB0介绍 (14)4.3硬件仿真 (14)5课程设计的心得体会 (15)参考文献 (16)附录 (17)摘要本文以数字电子技术作为理论基础、以quartusⅡ软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。
该电子秒表可以准确显示时间,范围为00.00—99.99。
并且可以手动调节时间,随时启动、清零、暂停记录时间等。
操作起来简易、方便。
首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。
其次,在总体电路图组装完成以后,用quartusⅡ软件对设计好的电路进行了仿真与调试,并逐一解决设计过程中出现的一系列问题。
最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。
并利用学院的LB0开发板进行硬件仿真。
关键词:电子秒表计数器分频quartusⅡ、1引言1.1设计目的1)掌握同步计数器74160,74161的使用方法,并理解其工作原理。
2)掌握用74160,74161进行计数器、分频器的设计方法。
3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。
4)掌握电子秒表的设计方法。
5)掌握在EDA系统软件MAX + plus Ⅱ环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定和芯片下载的方法。
数字秒表
《电子设计自动化》课程设计报告学校:周口职业技术学院专业:电气自动化技术班级:10级电气班姓名:沈琳年月日简易数字秒表1.课程名称:《简易数字秒表》2.设计任务和要求2.1设计任务:数字式秒表实现简单的计时与显示,按下启动键开始清零计时,按下停止键,计时停止。
具有“分”(00—59)“秒”(00—59)数字显示,分辨率为1秒。
计时范围从00分00秒到59分59秒。
2.2设计要求:阅读相关科技文献,上网搜索相关资料,设计多种方案设计,予以论证,最终选择最佳方案。
1、将提供的1024hz的方波源转换成1hz的方波源。
2、秒表的范围为0-59分59秒。
3、最后用数码管显示。
3.方法选择与论证3.1.方案选择在设计之初,我们有两个方案,都实现了59分59秒的结果,不过经过小组成员的讨论,一致选定采用方案二,该方案是在Proteus软件环境下实现的秒表计时功能,就制作上较方案一还是很不错的。
3.2.方案论证我们主要采用74LS90芯片和555计时器,74LS90是二--五十进制计数器,根据进制转换,很好的实现了六进制的功能,参考了各相关书籍及网上的一些资料,我们做好了现在的电路图,经过仿真,我们达到了预期的结果。
4.方案的原理图方案设计:4.1方案原理框图:4.2总体电路图,布线图以及说明:说明:上图是用EWB软件仿真的简易秒表设计的总体电路图,主体部分采用4片74LS160芯片构成进位计数器,据其引脚功能连线并设置相应使能和触发端;其中两个与非门是通过反馈输出进行6进制的控制,两个与门实现高位两片74LS160的使能控制,达到秒表计数的功能。
单击开关停止计数,在软件上通过启动开关可实现清零。
4.3元器件选择及说明:74LS160的逻辑功能:74160为可预置的十进制计数器,异步清零端为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。
74160的预置是同步的。
当置入控制器为低电平时,在CP上升沿作用下,输出端与数据输入端一致。
电子秒表的设计课程设计
电子秒表的设计课程设计一、课程目标知识目标:1. 学生能够理解电子秒表的基本原理,掌握计时器的功能及其组成部分。
2. 学生能够描述电子秒表的电路工作原理,包括晶体振荡器、分频器、计数器等关键电路的作用。
3. 学生能够运用所学的电子知识,解释电子秒表中时间测量精度的影响因素。
技能目标:1. 学生能够运用所学知识设计简单的电子秒表电路,并进行模拟组装。
2. 学生通过小组合作,能够完成电子秒表的调试和故障排查,提高实际动手操作能力。
3. 学生能够使用适当的工具和仪器,对电子秒表进行性能测试,并做出准确记录。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学技术的热情。
2. 通过团队合作设计电子秒表,培养学生解决问题的能力和合作精神。
3. 学生在学习过程中能够体会到科技进步对日常生活的影响,增强创新意识和实践能力。
课程性质分析:本课程为电子技术实践课程,注重理论联系实际,通过设计制作电子秒表,提高学生对电子技术的理解和应用能力。
学生特点分析:假设学生为初中八年级学生,已经具备基础的物理知识和电子技术原理,动手能力强,对新鲜事物充满好奇心。
教学要求:课程需结合学生的认知水平,通过实践操作和小组合作,使学生能够将所学知识应用于实际问题的解决中,培养创新思维和科学探究能力。
教学过程中,注重引导学生主动参与,鼓励学生提问和思考,确保学习目标的达成。
二、教学内容本课程依据课程目标,结合以下教学内容展开:1. 电子秒表基础知识:介绍电子秒表的组成、工作原理及各部分功能,涉及课本第三章“计时器原理”相关内容。
2. 电路设计与分析:讲解电子秒表电路的设计方法,包括振荡器、分频器、计数器等关键部分的设计,参照课本第四章“数字电路设计基础”。
3. 元器件选择与使用:教授如何选择合适的元器件,如晶体振荡器、集成电路、显示屏等,对应课本第五章“常用元器件”。
4. 实践操作:指导学生进行电子秒表的组装、调试与测试,强调实践操作技能的培养,结合课本第六章“电子制作实践”。
数字秒表课程设计及仿真
数字秒表课程设计及仿真一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的工作机制。
2. 学生能描述数字秒表电路的组成,包括时钟电路、触发器、计数器等关键元件。
3. 学生能够运用所学知识,分析并解释数字秒表中时间测量的精度和误差来源。
技能目标:1. 学生能够运用仿真软件设计并搭建一个简单的数字秒表电路模型。
2. 学生通过实际操作,学会设置数字秒表,进行时间的测量和记录,掌握基本的时间计算方法。
3. 学生能够利用仿真工具对数字秒表电路进行调试,解决简单的故障问题。
情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣,增强对科学探究的热情。
2. 学生能够在小组合作中发展团队协作精神,学会相互尊重和交流分享。
3. 学生通过实际操作和问题解决,培养面对挑战的积极态度和解决实际问题的自信心。
课程性质:本课程属于电子技术实践课程,结合理论教学与实际操作,强调知识的应用与创新。
学生特点:考虑到学生年级特点,课程设计将结合学生的好奇心和动手能力,通过形象直观的仿真实验,激发学生的学习兴趣。
教学要求:教学过程中应注重理论与实践相结合,强调知识的应用和技能的培养,通过课程学习,使学生能将所学知识内化为解决实际问题的能力。
教学评估将基于学生在课程中的具体学习成果进行。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字秒表基本原理:介绍数字秒表的计时原理,分析时钟电路、触发器、计数器等关键元件的工作原理。
2. 数字秒表电路组成:详细讲解数字秒表的电路结构,包括时钟电路、控制电路、显示电路等组成部分。
3. 仿真软件应用:教授学生如何使用仿真软件,搭建数字秒表电路模型,并进行调试。
4. 实践操作:指导学生进行数字秒表的设置、时间测量和记录,以及基本的时间计算方法。
5. 故障分析与解决:教授学生如何分析数字秒表电路中的常见故障,并运用所学知识解决问题。
教学内容安排如下:第一课时:数字秒表基本原理及电路组成1. 介绍计时原理和关键元件2. 分析电路结构及工作原理第二课时:仿真软件应用与实践操作1. 搭建数字秒表电路模型2. 进行仿真调试和实际操作第三课时:故障分析与解决1. 分析常见故障及其原因2. 解决实际问题,提高操作技能教学内容与教材关联性:本课程内容紧密联系教材中关于数字电路、计时器等方面的知识,确保学生所学内容的科学性和系统性。
电子秒表的设计
电子秒表的设计电子秒表是一种用于测量时间间隔的电子设备,可以用来计算时间,计时等。
其设计包括硬件和软件两个部分。
下面将详细介绍电子秒表的设计。
一、硬件设计1.微控制器电子秒表的核心是微控制器,它控制整个系统的运行。
常用的微控制器有单片机、FPGA、DSP等。
其中,单片机是一种常用的微控制器,如AT89C51、STC89C52等。
这些单片机具有价格低廉、可靠性高、易于编程等优点。
2.按键电子秒表需要用到开始、停止、重置等按键,以便用户可以控制秒表的计时。
这些按键连接到微控制器的IO口上,通过软件程序实现其功能。
3.显示器电子秒表需要一个显示器来显示计时结果。
常用的显示器有LED数码管、LCD 液晶显示屏等。
其中,LED数码管具有亮度高、寿命长、价格低廉等优点,但是只能显示数字,不能显示文字。
LCD液晶显示屏可以显示数字和文字,但是价格较高。
4.定时器电子秒表需要用到定时器来计时时间。
常用的定时器有硬件定时器和软件定时器两种。
硬件定时器通常使用计时器芯片来实现,如CD4060等。
软件定时器则是通过微控制器内部的定时器来实现的。
二、软件设计1.程序流程图在软件设计阶段,首先要画出程序流程图,以便更好地理解程序的执行过程。
程序流程图是一种用图形方式表示程序执行过程的工具,它可以帮助程序设计者更好地理解程序结构,从而更容易编写程序。
2.程序代码根据程序流程图,我们可以编写程序代码。
在程序代码中,我们需要定义各个变量、声明函数、编写各个模块的程序逻辑等。
下面是一个简单的电子秒表程序代码的示例:#include <reg52.h> // 包含单片机头文件typedef unsigned int ui; // 定义无符号整型数变量typedef unsigned char uc; // 定义无符号字符型数变量sbit K1=P3^3; // 开始按钮sbit K2=P3^4; // 停止按钮sbit K3=P3^5; // 重置按钮uc code table[]={ // 显示时间的程序表0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07, // 0~7号位的显示数据0x7F,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x71 // 8~15号位的显示数据};ui num=0; // 计时器变量uc time=0; // 计时器计时结果变量uc count=0; // 软件定时器计数变量bit flag=0; // 软件定时器标志位void delay(ui x) // 延时函数{ui i,j;for(i=x;i>0;i--)for(j=112;j>0;j--);}void display(uc time) // 显示时间函数{P2=0xFE; // 选择第一位数码管P0=table[time/10]; // 显示时间的十位数字delay(5); // 延时消隐P2=0xFD; // 选择第二位数码管P0=table[time%10]; // 显示时间的个位数字delay(5); // 延时消隐}void main() // 主函数{while(1) // 循环执行程序{if(K1==0) // 如果按下开始按钮{K1=1; // 松开开始按钮num=0; // 清零计时器变量numflag=1; // 软件定时器标志位flag置1}if(K2==0) // 如果按下停止按钮{K2=1; // 松开停止按钮num=num/10; // 清零计时器变量num的高位数字display(num); // 显示计时器变量num的值}if(K3==0) // 如果按下重置按钮{K3=1; // 松开重置按钮下面详细介绍电子秒表的设计:总体架构设计。
数电课程设计秒表15页
数电课程设计秒表15页一、课程目标知识目标:1. 理解并掌握数字电路基础知识,特别是计时器电路的设计原理;2. 学习秒表的基本工作原理,理解秒表电路的组成部分及其功能;3. 掌握使用集成数字电路芯片设计秒表的方法,包括逻辑电路图的设计与实现。
技能目标:1. 能够运用所学知识,设计并搭建简单的秒表电路;2. 培养学生动手操作能力,包括焊接、调试和排错数字电路;3. 提高学生的问题分析解决能力,能够对秒表电路进行故障诊断和优化。
情感态度价值观目标:1. 培养学生对数字电路的兴趣,激发创新意识和探索精神;2. 通过团队合作设计秒表,增强学生的团队协作能力和沟通技巧;3. 强化学生对工程实践的责任感,认识到技术发展对社会进步的重要性。
分析课程性质、学生特点和教学要求,本课程目标定位于理论与实践相结合,强调在理解基础知识的同时,注重学生实践技能的培养。
目标设定具体、可衡量,旨在让学生在学习过程中,不仅能掌握数字电路知识,而且能够将理论应用于实践,解决实际问题。
通过课程学习,使学生达到预定的学习成果,为后续的教学设计和评估提供明确方向。
二、教学内容本章节教学内容紧密围绕课程目标,依据教材相关章节,科学系统地组织以下内容:1. 数字电路基础知识回顾:复习触发器、计数器等基本数字电路的工作原理及应用。
2. 秒表工作原理:介绍秒表的计时机制,分析秒表的组成部分,包括时钟源、分频器、计数器、显示及控制单元等。
3. 集成数字电路芯片:讲解集成数字电路芯片的类型,如555定时器、计数器芯片等,及其在秒表设计中的应用。
4. 逻辑电路设计:教授如何利用数字电路设计软件绘制秒表电路图,以及使用面包板搭建实际电路。
5. 电路搭建与调试:指导学生动手搭建秒表电路,学习焊接、调试和排错技巧。
6. 故障分析与优化:培养学生分析电路故障的能力,针对问题提出解决方案,优化电路设计。
教学内容按照以下进度安排:1-2课时:数字电路基础知识回顾及秒表工作原理介绍。
电子技术课程设计---秒表数码显示电路数字秒表电路设计
电子技术课程设计---秒表数码显示电路数字秒表电路设计一,课题名称秒表数码显示电路数字秒表电路设计二,设计要求1. 设计1MHz时钟:2.完成0~59小时59分59秒范围内的计时:3.通过按键设置计时起点与终点,计时精度为10ms:4. 计时暂停、恢复和清零功能;5. 计时时间报警功能;三,比较和选定设计的系统方案,画出系统框图1.1,课题分析与方案确定本题要求计数至59时59分59秒,所以要用到六个数码管。
计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。
暂停功能和清零功能通过开关进行控制。
最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。
1.2,总体设计方案及系统框图数字式秒表,必须有数字显示。
按设计要求,必须用数码管来做显示器。
题目要求59时59分59秒,则需要六个数码管。
要求计数分辨率为0.01秒,则需要相应频率的信号发生器。
总体上,采用六个74LS160计数器。
使用同步预置法,实现59时59分59秒的计时。
将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。
四,单元电路设计、参数计算和器件选择1.1,各个模块单元设计74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。
功能表:图20引脚图:图21逻辑符号及其引脚功能图:图2274ls160中的ls代表为低功耗肖特基型芯片。
74160为标准型芯片。
结构功能一样。
2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。
电子秒表设计实验报告
淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称:电子秒表电路的设计班级:学号:姓名:学院:电子与电气工程学院专业:自动化系别:自动化指导教师:《数字电子技术》实验指导教师组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
2 .学习电子秒表的调试方法。
二、实验原理图11 -1 为电子秒表的电原理图。
按功能分成四个单元电路进行分析。
1.基本RS 触发器图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位、复位的功能。
它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。
按动按钮开关K2(接地),则门1 输出=1 ;门2 输出Q =0 ,K2复位后Q 、状态保持不变。
再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。
由1 变0 ,送出负脉冲,启动单稳态触发器工作。
基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。
2. 时钟发生器图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的时钟源。
调节电位器R W,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2。
图11-2 单稳态触发器波形图图11-3 74LS90引脚排列3.计数及译码显示二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。
其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q D取得周期为0.1S 的矩形脉冲,作为计数器②的时钟输入。
计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。
数字电路实验四:电子秒表
2、电子秒表的整体测试
各单元电路测试正常后,按总图把几个单 元电路连接起来,进行电子秒表的总体测试。
要求计时至少2分钟,利用参考时间源测试 其误差。
分析电子秒表误差原因。
4、自主练习 74ls90及74ls92各种进制级联。 例:2——10进制级联;
10——2进制级联; 上次布置的思考题
········
经过5分频,产生频率10HZ,周期0.1 S的计数时钟信号
T TW1 TW 2 TW1 0.7R1 R 2 C TW 2 0.7R2C
实验原理
现代电子技术实验
50HZ
5分频
10HZ
五、总电路图
现代电子技术实验
现代电子技术实验
六、实验内容
1、脉冲源的调测
现代电子技术实验
用示波器观察输出电压波形并测量其频率,调节 RW,使输出矩形波频率为50Hz,画出其波形。
产生清零信号单稳态电路来自实验原理 2、停止电路
Q=0
Q=1
现代电子技术实验
时钟信号
C
与
非 门 计数脉冲
若停止键按下, Q将变为0。
实验原理
停止电路
Q=01
Q=01
现代电子技术实验
时钟信号
C
与
非 门 无计数脉冲
停止键按下, Q=C变为0。
实验原理
现代电子技术实验
3、脉冲源电路
产生频率为50HZ,周期0.02 S的信号
2 、按下启动键开始清零计时,按 下停止键,停止。
三、实验框图
电子秒表的组成框图
现代电子技术实验
四、实验原理
Q=1
Q=0
现代电子技术实验
1、启动电路
R-S触发器
60秒数字秒表课程设计
60秒数字秒表课程设计一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的使用方法。
2. 学生能够运用数学知识,进行时间计算,准确地读取和转换秒表显示的时间。
3. 学生理解时间单位秒的概念,并将其与日常生活中的时间感知相结合。
技能目标:1. 学生能够熟练操作数字秒表,进行开始、停止、复位等基本功能的使用。
2. 学生通过实践,提高对时间分配与管理的能力,培养准确计时的技能。
3. 学生能够设计并实施简单的计时实验,通过数据收集与分析,锻炼科学探究能力。
情感态度价值观目标:1. 学生培养对时间的尊重意识,增强时间管理的重要性认识,形成良好的时间观念。
2. 学生在合作完成计时任务时,培养团队协作精神和公平竞争意识。
3. 学生通过对时间的精确测量和计算,体验科学探究的乐趣,激发对科学学习的兴趣。
课程性质:本课程为小学四年级科学课程的一部分,结合数学知识与科学实验,提高学生对时间概念的理解。
学生特点:四年级学生对时间有基本的认识,动手操作能力较强,对科学实验有较高的兴趣。
教学要求:通过直观的教学活动和实验操作,使学生在实践中掌握知识,提高技能,并在情感态度上得到培养。
教学设计应注重实践性与互动性,确保学生能够达成具体的学习成果,并通过有效评估手段进行学习效果的评价。
二、教学内容本课程依据课程目标,结合教材内容,设计以下教学大纲:1. 数字秒表的基本原理- 介绍秒表的构造及工作原理。
- 理解数字显示和时间计算的基础知识。
2. 数字秒表的操作方法- 学习开始、停止、复位等功能键的使用。
- 掌握如何读取和记录秒表上的时间数据。
3. 时间单位秒的认识- 深化对秒作为时间单位的概念理解。
- 通过实例展示秒在生活中的应用。
4. 实践活动:计时实验- 设计简单的计时任务,如测量物体下落时间。
- 实践中引导学生进行数据记录和分析。
5. 时间管理与分配- 引导学生讨论时间管理的重要性。
- 实践活动:在限定时间内完成任务,体验时间分配的效果。
电子秒表课程设计报告
电子秒表1.设计课题任务及指标1.通过本设计的选题、方案论证、设计计算、安装调试、资料整理、撰写“设计报告”等环节, 初步掌握电子工程设计方法和组织实施的基本技能, 深化、扩展并综合运用课堂上所学的电子电路分析设计方法以及集成电路知识完成小系统的电路设计。
2.利用基本脉冲发生器及计数、译码、显示等单元电路设计数字秒表。
3.在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试。
功能要求:基本要求: 计时从1s至99s;有置数、复位功能;能用开关灵活启动和停止秒表。
扩展功能: 有倒计时功能;能计时从0.1s至9.9s。
2.系统设计方案论证所作为数字式秒表, 所以必须有数字显示。
按设计要求, 须用数码管来做显示器。
题目要求最大记数值为99秒, 那则需要两个数码管。
要求计数分辨率为1秒, 那么则需要相应频率的信号发生器。
选择信号发生器时, 有两种方案:一种是用晶体震荡器, 另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
由于熟悉程度, 本组采用集成电路555定时器与电阻和电容组成的多谐振荡器。
秒表功能中要求有复位功能、能用开关灵活启动和停止秒表, 则控制电路的方案也有两种:方案一, 用74ls08和74ls32及一个3控拨码开关;方案二, 用2个单刀双掷开关及多个单刀开关。
为了能够灵活的控制秒表, 我们选用方案一。
计数部分使用两个74LS192十进制计数器, 这种计数器能够简捷的进行顺逆计时, 为了方便简单译码显示电路采用了74ls48与共阴极七段数码管。
系统框图:图1 流程图4.单元电路设计4.1电源与总控开关图2 电源与总控开关电源由干电池提供;R1为保护电阻;S1为电路总控开关。
4.2脉冲发生器(由555构成的多些振荡器)图3 脉冲发生电路图图4 NE555管脚图由于频率f=1.43/(R1+2R2)C=1Hz, (1)产生1Hz频率,所以, 电容C1=0.33u, 电阻R2=100KΩ, R3=2.2MΩ。
数字秒表设计实验报告
数字秒表设计实验报告数字秒表设计实验报告1. 引言•简要介绍实验的目的和意义2. 设计原理•介绍数字秒表的基本原理和工作流程3. 实验步骤•列出实验的具体步骤和操作流程4. 实验结果分析•分析实验过程中的数据和观测结果•对实验结果进行解释和讨论5. 实验结论•给出实验的总结和结论6. 实验改进•提出对实验的改进建议和优化方案7. 参考资料•引用相关的文献和资料来源8. 附录•将实验过程中的数据、图表等附加在文末作为附录以上为一个大致的框架,具体内容根据实验的实际情况进行填写。
本实验报告使用Markdown格式,通过使用标题和列表等语法,使文章更加清晰易读。
注意,为了遵守规则,本文中不包含实际的字母、图片或网址。
希望这份指导对你有所帮助!数字秒表设计实验报告1. 引言•实验目的:本实验旨在设计一个数字秒表,用于测量时间,并掌握数字电路的设计原理和实践技能。
•实验意义:准确测量时间是科学研究和生产实践中的重要要求,数字秒表作为计时测量的常用工具,具有广泛的应用价值。
2. 设计原理•数字秒表的基本原理是利用稳定的时钟信号源产生时间基准,通过计数器、时钟分频电路和显示模块实现对时间的测量和显示。
3. 实验步骤1.首先确定秒表的最高位数,根据实际需求选择适当的位数。
2.设计计数器电路,使用计数器芯片进行计数,根据最高位数确定计数器的范围。
3.设置时钟分频电路,通过将时钟信号分频得到适合计数器工作的时钟频率。
4.连接计数器和时钟分频电路,确保二者能够正确配合。
5.设计显示模块,将计数器的输出转换为数字形式,用于显示具体的时间数值。
6.连接显示模块和计数器,进行正确的信号传递和信息显示。
7.进行测量和验证,检查秒表的测量准确性并进行调整。
4. 实验结果分析•对实验过程中的数据和观测结果进行分析•通过比较测量结果与标准时间的差异,评估秒表的准确性•分析秒表存在的潜在问题并提出解决方案5. 实验结论•总结实验设计和实验过程•归纳出实验结果和分析的要点•得出对设计的数字秒表的结论,包括准确性、可靠性和实用性等方面的评价6. 实验改进•针对实验中发现的问题,提出改进的建议和优化方案•探讨可能的改进措施,包括电路设计、算法优化、显示方式等方面的改进7. 参考资料•[1] 电子技术实验教程,XXX出版社•[2] 数字电路原理与设计,XXX出版社8. 附录•实验数据表格•电路图和连接图•实验中使用的元器件清单以上为数字秒表设计实验报告的大致框架,实验的具体内容和结果分析部分需要根据实际情况进行填写。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1设计目的电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子技术、数字电子技术课程结束后进行的教学环节。
其目的是:1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。
4、培养学生的创新能力。
2设计要求1.秒表由2位七段LED显示器显示,其中1位显示“ s” ,二位显示“ 0.1s ”,显示分辩率为0.1 s;2.计时最大值为9.9s;3.计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;4.主要单元电路和元器件参数计算、选择;5.画出总体电路图;6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。
焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。
7.调试电路8.电路性能指标测试9.提交格式上符合要求,内容完整的设计报告3总体设计3.1工作流程图图1工作流程图图1中1单元为用集成与非门74LS00构成的基本RS触发器。
属低电平直接触发的触发器,有直接置位,复位的功能。
图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。
图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。
图1中4单元74LS160构成的计数器/分频器图1中5单元译码显示单元3.2原理图:图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。
其中单元1为基本RS触发器;单元2为单稳态触发器;单元3为时钟发生器;单元4为计数器和分频器;单元5为译码显示单元。
图2原理电路图本电路中使用两个基本RS触发器提供置位、复位、和清零信号,555定时器提供时钟脉冲信号,三块74LS160作为译码/分频单元,有效、简洁地完成了秒表所具备的所有功能(清零、启动计时、暂停计时及继续计时)。
4单元电路设计4.1基本RS触发器图中单元1为用集成与非门74LS00构成的基本RS触发器。
属低电平直接触发的触发器,有直接置位,复位的功能逻辑符号基本RS 触发器电路图基本RS 触发器功能表:表1基本R S触发器功能表R S Q 1 0 1 0 1 01 1 「保持 0不确定它的一路输出Q 作为单稳态触发器的输入,另一路输出Q 作为与非门5的输 入控制信号。
按动开关错误!未找到引用源。
,则门1输出Q 非为1;门2输出Q 为0; 错误!未找到引用源。
复位后Q 、Q 非状态保持不变。
再按动 错误!未找到引用 源。
,则Q 由0变为1,门5开启,为计数器启动作好准备。
Q 非由1变为0, 送出负脉冲,启动单稳态触发器工作。
基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。
图3 74LS00引脚及内部结构表4.2时钟发生器冋冋冋冋冋冋込74LSOO1 2 3 4 5可門GNDVoz14戸 11 io gLL A-J 123 45山GND555定时器构成了多谐振荡器,是一种性能较好的时钟源。
调节电位器错误!未找到引用源。
,使在555输出端3获得频率为10—15Hz 的矩形波信号,当基本RS触发器Q为1时,门5开启,此时脉冲信号通过门5 作为计数脉冲加于计数器的计数输入端CR图4为555定时器管脚分布图。
图4 555引脚图4.3计数器及分频器74LS161构成的计数/分频器,其中计数器1作为十分频器,对555输出的矩形波信号进行十分频,得到的信号,即在其进位输出端取得周期为0.1s的矩形脉冲信号,作为计数器2的时钟输入信号。
同时计数器2的时钟输入信号又经过十分频得到周期为1s的矩形脉冲信号,作为计数器3的时钟输入信号。
译码显示单元:本部分由CC4511译码器和七段显示共阴极数码管构成。
在其引脚当中A B、C、D为BCD码输入端,a、b、c、d、e、f、g为译码输出端,输出“ T有效,用来驱动LED 数码管。
4.4元件清单表2兀件清单表5调试5.1基本RS触发器的调试按动开关错误!未找到引用源。
,检查门1输出Q非是否为1,门2输出Q 是否为0,错误!未找到引用源。
复位后这两个状态能否保持,再按动开关错误!未找到引用源。
,Q是否由0变1, Q非是否由1变0,否则检查卡观和与非门错误!未找到引用源。
5.2时钟发生器的测试用示波器观察输出电压波形并测量其频率,调节错误!未找到引用源。
,使输出波形频率为10-15HZ,若无波形输出,检查555定时器。
5.3计数、译码、显示单元的测试测量计数器功能和分频器功能,看输出频率是否为10倍关系,各段测量显示管的功能是否正常。
5.4整体测试先按按钮错误!未找到引用源。
,此时电子秒表不工作,再按一下错误!未找到引用源。
,则计数器清零后变开始计时,观察数码管显示计数情况是否正常。
如不需要计时或暂停时,按一下开关错误!未找到引用源。
,立即会出现暂停状 ^态O5.5电子秒表准确度的测试利用电子钟或手表的计时对电子秒表进行校准,若时间不准,调节错误!未找到引用源。
O6电路测试及测试结果(测试方法、测试结果、性能分析)7设计总结大二下学期我们开始学习模电和数电这两门专业课,这是我们第一次做课程设计,所以不免会感到一些吃力,动手实践和创新能力对于我们理工课院校的学生而言显得相当重要,通过这次的课程设计我发现了自己还存在诸多方面的不足,比如刚开始拿到原理图和元器件时,没有对原理图的正确性验证就盲目的焊接,导致不能达到预期的结果,经过多次调试才发现问题所在。
在调试过程中出现了译码器不工作的情况,也一直找不到症结所在,就找到指导老师寻求帮助,原来是由于我们刚拿到的电路图上使用的是74LS161作为计数器,但是我们拿到的是74LS160,而且我们的计数器都没有接电源,但是接好电源后另我失望的事情又发生了,译码器仍然不工作!后来才发现我们实际使用的译码器是由CC4511构成的,而不是电路图上的74LS247, CC4511的第五管脚都是需要接低电平,而不是像74LS247一样接高电平,但是由于我们测试的次数太多,有一个74LS160已经烧坏,当更换了新的芯片以后,我自己亲手制作的数字式电子秒表才开始正常工作,在兴奋之余仍有一系列的难题困扰着我,电位器已经调到最大值,但是由555定时器提供的脉冲频率仍然大于所需频率,由数码管现实的时间远大于正常时间,还有就是为什么计数器74LS160会在电源接通后迅速发热,以至于把芯片烧坏,虽然我现在还没有找到答案,但是我会努力去学习,等我找到答案后希望自己能独立解决它。
理论知识终究不是实践能力,在实践面前一系列的问题会突发出现,但是没有扎实的理论知识,实践能力又无从存在,二者可谓缺一不可,所以在以后的学习工作中,学习和动手要两手抓,而且两手都要硬,不能留下软肋,让问题钻了空子。
本次课程设计很有收获,相信以后的类似这样的课程设计我会做得更好!8 参考文献1 康华光. 电子技术基础. 北京:高等教育出版社,2005,1461082 黄仁欣. 电子技术实践与训练. 北京:清华大学出版社,2004,1653 刘修文. 实用电子电路设计制作. 北京: 中国电力出版社,2005.4 蔡忠法. 电子技术试验与课程设计. 浙江:浙江大学出版社,2005,655 赵淑范, 王宪伟.电子技术试验与课程设计. 北京: 清华大学出版社,200674LS161管脚排列图管脚图介绍:时钟 CP 和四个数据输入端 P0~P3清零 /MR使能 CEP, CET置数 PE数据输出端 Q0~Q3以及进位输岀TC. (TC=QO • Q1- Q2 - Q3 - CET)V74LS161功能表>从74LS161功能表功能表中可以知道,当清零端CR“O”,计数器输岀 Q3Q2、Q1、Q0立即为全“ 0”,这个时候为异步复位功能。
当CR“ 1”且LD=“0 ”时,在 CP信号上升沿作用后,74LS161输岀端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3, D2, D1,D0的状态一样,为同步置数功能。
而只有当CR=LD=EP=E“=1”、 CP脉冲上升沿作用后,计数器加1。
74LS161还有一个进位输岀端 CQ其逻辑关系是CO= Q0- Q1- Q2- Q3- CET合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。
此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用CC4511 BCD码锁存/七段译码/驱动器。
驱动共阴极LED数码管。
图1.1.6为CC4511引脚排列图1.1.6 CC4511 引脚排列其中A B C D —BCD码输入端a b c、d、e f、g —译码输出端,输出“ 1 ”有效,用来驱动共阴极LED数码管。
LT —测试输入端,LT=“ 0”时,译码输出全为“ 1”BI —消隐输入端,Bl=“ 0”时,译码输出全为“ 0”LE —锁定端,LE=“ 1”时译码器处于锁定(保持)状态,译码输出保持在LE= 0时的数值,LE= 0为正常译码。
表1.1.2为CC4511功能表。
CC4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作。
译码器还有拒伪码功能,当输入码超过1001时,输出全为“ 0”,数码管熄灭。