(完整版)山东大学电子设计自动化试卷3

合集下载

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案一、选择题:(每题2分,共30分)1. 不符合1987VHDL标准的标识符是()。

A. a2b2B. a1b1C. ad12D. %502. VHDL语言中变量定义的位置是()。

A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置3. VHDL语言中信号定义的位置是()。

A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置4. 变量是局部量可以写在()。

A. 实体中B. 进程中C. 线粒体D. 种子体中5. 变量和信号的描述正确的是()。

A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别6. 变量和信号的描述正确的是()。

A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别7. 关于VHDL数据类型,正确的是()。

A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关8. 下面数据中属于实数的是()。

A. 4.2B. 3C. ‘1’D. “11011”9. 下面数据中属于位矢量的是()。

A. 4.2B. 3C. ‘1’D. “11011”10. 正确给变量X赋值的语句是()。

A. X<=A+B;B. X:=A+b;C. X=A+B;D. 前面的都不正确 11. 可以不必声11.明而直接引用的数据类型是()。

A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12. STD_LOGIG_1164中定义的高阻是字符()。

A. XB. xC. zD. Z13. STD_LOGIG_1164中字符H定义的是()。

A. 弱信号1B. 弱信号0C. 没有这个定义D. 初始值14. 使用STD_LOGIG_1164使用的数据类型时()。

自动化《模拟电子技术基础》 山东大学网络教育 模拟题 答案

自动化《模拟电子技术基础》 山东大学网络教育 模拟题 答案

模拟电子技术基础一、单项选择题:1.多数载流子为自由电子的半导体是__ B ____。

A 、P 型半导体B 、N 型半导体C 、杂质半导体D 、纯净半导体 2.半导体二极管的基本特性是__ B____。

A 、多子扩散B 、单向导电C 、少子漂移D 、载流子复合 3.若要使基本放大器不失真的放大交流信号,三极管必须工作在___C___状态。

A. 饱和 B. 截止 C. 放大 D.击穿4.对功率放大电路的基本要求是在不失真的情况下得到尽可能大的__B____。

A. 交流输出电压 B. 交流输出功率 C. 交流输出电流 D.输出电阻 5. 在直接耦合放大电路中,采用差动式电路的主要目的是__A____。

A. 抑制零点漂移B.克服交越失真C.提高电压放大倍数D.改变输出电阻6. 振荡器之所以能获得单一频率的正弦波输出电压,是依靠了振荡器中的___D___。

A. 稳幅环节 B. 基本放大电路 C. 正反馈网络 D.选频网络 7.场效应管放大器的突出优点是____A__。

A. 输入电阻很大B. 输出电阻小C. 电压增益大D.电流增益大8.放大电路中,如要增大输入电阻同时减小输出电阻,应引入_____C 反馈。

A.电流串联 B.电压并联 C.电压串联 D.电流并联二. 放大电路如图所示。

设C 1、C 2对交流可视为短路,三极管的U BE =0.7V ,β=50。

1. 求电路的静态工作点(I B 、I C 、U CE )。

2. 画出放大器的交流小信号等效电路。

3. 求电压放大倍数;输入电阻;输出电阻。

R U (+12V)+ o2K200Kc TCC +V 2KR bLR + U iC 1 C 2iR oR三.已知互补对称功率放大器电路如图所示,求解下列各题: 若输入电压u i =5V (有效值),求输出功率P o1. 忽略功率管的饱和压降。

估算该功率放大器的最大输出功率P om 。

2. 说明二极管D 1、D 2的作用。

2011年山东大学威海分校电子设计大赛试题

2011年山东大学威海分校电子设计大赛试题

[A]温度测量仪表指导教师:杜清府一、基本要求1、测量范围:0~300℃,温度分辨率0.2℃以上。

[12bit ADC isrequired]2、能调整零点和满量程:在零点附近,如实际温度10℃,仪表显示温度12℃,可以通过调节零点参数,使仪表显示温度正确温度;同样,在满量程附近,如实际温度290℃,仪表显示温度288℃,通过调整满量程参数,使仪表显示正确温度。

3、测量速度达到1个读数/秒二、扩展要求1、能与计算机进行通讯,将仪表温度在计算机上显示出来。

[RS232/USB History Curve]2、仪表低功耗,普通3节1号电池(串联成4.5V)供电3个月以上。

[5Ah/3*30*24=2mA for average work current include active modeand sleep mode]3、引线电阻10Ω不影响测量结果。

三、所用主要元件(参考)1、单片机、LCD显示【LED is OK】2、铂电阻PT1003、双积分型AD转换器[Msp430f2003from Texas Instruments is a perfect selection for this project]16bit Sigma-DeltaUltralow-Power ConsumptionActive Mode220uA@1MHz2.2VStandby Mode0.5uAOff Mode0.1uA16Bit RISC Architecture with SPI and I2C10GPIOPackage:14PDIP&14TSSOP[B]电子台历的制作指导教师:郑亚民一、基本要求1、可实现公历、农历、节气、节日、天气、温度、湿度等信息2、具有闹钟和定时关机功能3、内置电源,接驳220V交流[Design a linear power supply with78xx Series regulator]二、扩展要求1、有时间提醒功能,提醒重要的日期或者时间2、有通讯录功能,可以添加、查阅联系人信息,包括、地址、电话、电子邮箱等[How to input these contacts]3、设计并制作适合电子台历外壳三、所用主要元件(参考)1、单片机2、LCM3、PS/2键盘or数字键盘0-9T9*在本题目中可能涉及220V交流电,请务必注意涉及安全[C]一、基本要求1、实现ARM 处理器读写Micro SD 卡的功能2、文件格式为FAT 或FAT32或NTFS 3、支持USB2.04、ARM 处理器可以通过USB 接口与计算机通信,交换数据信息二、具备的知识1、USB2.02、ARM 芯片相关3、FAT 文件系统三、所用主要元件(参考)1、LM3S8962[ARM Processor with Cortex M3core from TI]基于ARM 处理器的SD 卡读卡器指导教师:王小利[D]单管半导体收音机的设计与制作指导教师:王怡俊一、设计任务:设计并制作一个单管半导体收音机用来收听当地和附近城市的中波调幅无线电广播.二、基本要求:1、频率范围:535KHZ~1605KHZ2、灵敏度小于6mV/m(此项不测试,以能收听到附近城市的电台的个数和声音质量为考核项目)3、用两节5号电池供电4、用小型耳塞机收听三、主要材料:单联(或双联)可变电容器,型号:CBM-223,12-260pf可调2元天线磁棒1元晶体管90182个2元二极管2AP92个1元小型耳塞机及插1个2元塑料盒式1个5元其它(如扼流圈,天线线圈等)5元合计18元[E]5一、设计任务:设计并制作一个双路直流稳压电源.二、基本要求:1、能同时输出二路直流电压,输出电压范围:±2V~±30V ,连续可调,最大输出电流可达0.5A.2、纹波电压<15mV3、电压调整率<20mV4、有输出电压显示5、有简单的过流保护功能三、主要材料:30W,220V/双30V 变压器,LM317,LM337等约需90元*在本题目中涉及220V 交流电,请务必注意涉及安全直流稳压电源的设计与制作指导教师:王怡俊[F]6一、设计任务:用湿度传感器,设计一个湿度检测电路二、基本要求:1、湿度测量范围:5%RH ∽95%RH ,2、测量精度:±2%RH3、数字显示湿度测量值4、具有超限报警功能5、9V 电池供电三、主要材料:电容式湿度传感器HS1101约10.00元LM331约5.00元CMOS 555定时器1片、运放CF7413片、ADC 1片、若干电阻、电容、数码管等四、参考资料:数字电子技术、模拟电子技术、HS1101型湿度传感器的原理及使用方法湿度测量电路指导教师:李素梅湿度传感器电容/频率变换频率/电压变换A/D 转换数字显示[G]7流水线产品统计电路的设计指导教师:李素梅一、设计任务:设计并制作一个流水线上的产品数量统计电路。

山东大学电子设计自动化试卷3

山东大学电子设计自动化试卷3

2. 综合是 EDA 设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在 下面对综合的描述中,_________是正确的。 A. 综合就是将电路的高级语言转化成低级的,可与 FPGA / CPLD 的基本结构相映射的网表文件; B. 综合是纯软件的转换过程,与器件硬件结构无关; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。 D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系 是唯一的;
2.修改问题 1 的错误后,如果编译时出现“Can’t open VHDL “WORK” ”这样的错误提示。 这又是什么原因,如何修改?
错误 2
第2页 共5页
五、VHDL 程序设计: (16 分)
设计一数据选择器 MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数 据选择器 MUX 的结构体。
when 0 => if din = d(7) then q <= 1; else q <= 0; end if; --18 when 1 => if din = d(6) then q <= 2; else q <= 0; end if; --19 when 2 => if din = d(5) then q <= 3; else q <= 0; end if; --20 when 3 => if din = d(4) then q <= 4; else q <= 0; end if; --21 when 4 => if din = d(3) then q <= 5; else q <= 0; end if; --22 when 5 => if din = d(2) then q <= 6; else q <= 0; end if; --23 when 6 => if din = d(1) then q <= 7; else q <= 0; end if; --24 when 7 => if din = d(0) then q <= 8; else q <= 0; end if; --25 when others => q <= 0; end case; end if; end process; process (q) begin if q = 8 then else end if; end process; end bhv; ab <= "1010"; ab <= "1011"; --26 --27 --28 --29 --30 --31 --32 --33 --34 --35 --36

电子设计自动化(eda)期末考试试题及答案

电子设计自动化(eda)期末考试试题及答案
BEGIN
PROCESS(CLK)
BEGIN
IFCLK'EVENTANDCLKTHEN
Q1<=Q1+1;
ENDPROCESS;
Q<=Q1;
ENDbhv;
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)
USEIEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITYADDER16IS
PORT(CIN:INSTD_LOGIC;
A:INSTD_LOGIC_VECTOR(15DOWNTO0);
B:INSTD_LOGIC_VECTOR(15DOWNTO0);
Sห้องสมุดไป่ตู้OUTSTD_LOGIC_VECTOR(15DOWNTO0);
IFCLK'EVENTANDCLK='1'THEN
Q1<=Q1+1;
ENDIF;
ENDPROCESS;
Q<=Q1;
ENDbhv;
每个2分
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
LIBRARYIEEE;
USEIEEE.STD_LOGIC_1164.ALL;
(9)在vhdl中变量可在结构体和进程中定义和使用。()
(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。()
二、简答题(15分)
1、简述fpga/cpld的设计流程。(5分)
2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)

自动控制原理(山东大学)智慧树知到答案2024年山东大学

自动控制原理(山东大学)智慧树知到答案2024年山东大学

自动控制原理(山东大学)山东大学智慧树知到答案2024年第一章测试1.下列家用电器哪个属于闭环控制?()A:洗衣机 B:冰箱 C:电子手表 D:电视机答案:B2.下列系统哪个属于闭环控制?()A:无人售货机 B:十字路口红绿灯系统 C:数控机床 D:空调答案:D3.开环控制方式简单,控制精度高。

A:错 B:对答案:A4.只要有反馈通道,一定是闭环控制。

A:对 B:错答案:A5.线性系统一定会满足叠加原理的。

A:对 B:错答案:A6.满足叠加原理的系统,一定是线性系统。

A:错 B:对答案:B7.复合控制方式是既有开环控制,又有闭环控制。

A:错 B:对答案:B8.电枢控制的直流电动机反馈控制系统是属于()。

A:恒值控制系统 B:离散控制系统 C:连续控制系统 D:线性定常系统答案:ACD9.雷达天线控制系统是属于()。

A:连续控制系统 B:恒值控制系统 C:随动控制系统 D:线性定常系统答案:ACD10.计算机控制系统是属于()。

A:程序控制系统 B:离散控制系统 C:非线性控制系统 D:线性控制系统答案:B第二章测试1.不同的物理系统,可以是同一种环节,同一个物理系统也可能成为不同的环节,这是与描述他们动态特性的微分方程相对应的。

A:错 B:对答案:B2.常见的典型环节有几种?A:4 B:5 C:7 D:6答案:D3.在线性定常系统中,系统输出的拉普拉斯变换与输入的拉普拉斯变换之比,称为系统的传递函数。

A:对 B:错答案:B4.物理系统线性微分方程一般表示形式中,方程左端导数阶次都输入的阶次。

A:高于 B:低于或等于 C:低于 D:高于或等于答案:D5.传递函数描述系统本身属性,与输入量的关系是:A:与输入量的大小无关,与输入量的类型有关。

B:与输入量的大小有关,与输入量的类型无关。

C:与输入量的大小和类型均有关 D:与输入量的大小和类型均无关答案:C6.传递函数表示成零极点表达式时,其中的传递系数又叫根轨迹增益。

电气设计制造及其自动化专业试题以及答案

电气设计制造及其自动化专业试题以及答案

电气设计制造及其自动化专业试题以及答案第一篇:电气设计制造及其自动化专业试题以及答案天津渤海职业技术学院电气工程系考试改革电机及拖动口试题电气自动化专业考试题及其答案直流电机部分1.简述直流电动机的工作原理?答:直流电动机在外加电压的作用下,在导体中形成电流,载流导体在磁场中受电磁力的作用,由于换向器的作用,导体进入异性磁极时,导体中的电流方向也相应改变,从而保证了电磁转矩的方向不变,使直流电动机能连续旋转,把直流电能转换成机械能输出。

2.电磁转矩与什么因素有关?如何确定电磁转矩的实际方向?答:由T em=CTФIa知:对于已制造好的直流电机,其电磁转矩与电枢电流和气磁通的乘积成正比。

可用左手判定电枢导体的受力方向,从而确定电磁转矩的实际方向。

对于直流发电机而言,电磁转矩为制动转矩,与转子转向相反;而对于直流电动机而言,电磁转矩为驱动性质,与转子转向相同。

3.直流电机由哪些主要部件组成?其作用如何?答:(一)定子1)主磁极:建立主磁通,包括:铁心:由低碳钢片叠成绕组:由柒包铜线绕成2)换向磁极:改善换向,包括:铁心:中大型由低碳钢片叠成。

小型由整块锻钢制成。

绕组:由柒包铜线绕成。

3)机座:固定主磁极、换向磁极、端盖等,同时构成主磁路的一部分,用铸铁、铸钢或钢板卷成。

4)电刷装置:引出(或引入)电流,电刷由石墨等材料制成。

(二)转子1)电枢铁心:构成主磁路,嵌放电枢绕组。

由电工钢片叠成。

2)电枢绕组:产生感应电动势和电磁转矩,实现机—电能量转换。

由铜线绕成。

3)换向片:换向用,由铜线围叠而成。

4.直流电动机为什么不能直接起动?如果直接起动会有什么后果?U答:起动瞬间转速n=0,电动势Ea=CeΦn所以Ea=0,最初起动电流Ist=NRa。

若直接起动,由于Ra很小,Ist会达到十几倍甚至几十倍的额定电流,造成电机无法换向,同时也会过热,因此不能直接起动。

5.试说明电动状态、能耗制动状态、回馈制动状态及反接制动状态下的能量关系。

10山东大学网络教育电力系统自动化期末考试试题及参考答案

10山东大学网络教育电力系统自动化期末考试试题及参考答案
我的答案:A 得分: 2.5分
20
电力网络不包括哪个部分?( )
A、发电机
B、输电线路
C、变压器
D、开关和断路器
我的答案:A 得分: 2.5分
二.多选题(共20题,50.0分)
1
电力系统的频率调整分为:( )
A、一次调频
B、二次调频
C、三次调频
D、四次调频
我的答案:ABC 得分: 2.5分
同步发电机的励磁调节器由( )三个单元组成。
A、测量比较、综合放大、移相触发
B、测量比较、整定电路、移相触发
C、整形电路、相敏电路、滤波电路
D、整形电路、相敏电路、积分电路
我的答案:A 得分: 2.5分
11
直流励磁机励磁系统的优点是( )。
A、控制方便
B、是静止的
15
EMS发电计划的功能包括( )。
A、火电计划:水电计划;交换计划;扩建计划
B、火电计划;水电计划;交换计划;检修计划
C、火电计划;核电计划;交换计划;检修计划
D、火电计划;水电计划;调度计划;检修计划
我的答案:B 得分: 2.5分
16
电力系统中期负荷预测的时间范围是( )。
B、运行状态估计
C、事故预测
D、负荷预测
我的答案:A 得分: 2.5分
9
同步发电机励磁控制系统由( )组成。
A、励磁调节器、励磁功率单元
B、同步发电机、励磁调节器
C、同步发电机、励磁调节器、励磁功率单元
D、同步发电机、励磁调节器、励磁系统
我的答案:C 得分: 2.5分
10

《电子设计自动化》考试试卷答案

《电子设计自动化》考试试卷答案

一、1.VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言2. EDA是电子设计自动化(Electronic Design Automation)的缩写。

3. FPGA,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

4. CPLD复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。

是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。

5. 同步复位是复位信号和时钟同步,当时钟上升沿检测到复位信号,执行复位操作。

二、1.(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

2. ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。

②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。

③在编程上FPGA比CPLD具有更大的灵活性④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。

⑤CPLD比FPGA使用起来更方便。

⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。

⑦在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。

⑧CPLD保密性好,FPGA保密性差。

D类音频功率放大器设计

D类音频功率放大器设计

一般载 % 因此,
波信号 (三角波) 的频率和调制信号 (取正弦波) 的频 ( 34 5 !4) 率满足如下关系: !" 2 !# $ 其中, !" 为载波
( *)调制信号及载波信号波形 ( *):@A0-*1(A B#$C*- *CA /*’’ B#$C*- D*E(
万方数据
( F)&9: 调制波形 ( F)&9: D*E( 图 < 有关 &9: 电路各点波形 "#$% < GCH C@A( D*E( @. &9: /#’/0#1
是输出信号的动态范围小、 效率低, 理想情况下其效
!
引ቤተ መጻሕፍቲ ባይዱ

考虑到晶体管的饱和压降及穿透电流造 率为 +"Q , 成的损耗, / 类功率放大器的最高效率仅为 R+Q 左 右 ( P 类功率放大器在整个输入信号周期内功率器 件的导通时间为 +"Q , 它的优点是效率理想情况下 可达 )S ( +Q , 但缺点会产生交越失真, 增加噪声 ( /P 类 (甲乙类) 功率放大器是以上两种放大器的结合, 使每个功率器件的导通时间在 +"Q T $""Q ( 此类 放大器目前最为流行, 它兼顾了效率和失真两方面 的性能指标, 在设计该功率放大器时要设置功率晶 体管的静态偏置电路, 使其工作在甲乙类状态 ( 随着 半导体及微电子制造技术的不断发展, 高速、 大功率
!"# $#%&’( )( *+,%%-. ,/$&)-01#2/#(*3 4)5#1 ,64+&0&#1
0/1 23#45, 6/. 7859 D E59 ( , :<45F=59 G5CH ( , IC545 !+""’$, @<C54)

09级《电子设计自动化技术》考试A卷参考答案

09级《电子设计自动化技术》考试A卷参考答案

五. 用 VHDL 设计一个分频电路,要求将 20MHZ 的方波信号变为 100KHZ 的方波 信号。 (13 分)
答: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; (2 分) ENTITY JYFP IS PORT( CLK_IN,RESET:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC ); END ENTITY JYFP; (3 分) ARCHITECTURE ART OF JYFP IS CONSTANT DIVIDE_PERIOD:integer :=50; (2 分) --将 20MHZ 的信号变为 100KHZ,分频常数为 20000000/100000=200 BEGIN DIVIDE_CLK:PROCESS(CLK_IN,RESET) IS VARIABLE CNT:INTEGER RANGE 0 TO 49; BEGIN IF (RESET = '1') THEN CNT := 0; CLK_OUT <= '0'; ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT < (DIVIDE_PERIOD/2) THEN CLK_OUT <= '1'; --前 25 个周期输出为高电平 CNT := CNT + 1; ELSIF (CNT < (DIVIDE_PERIOD-1)) THEN CLK_OUT <= '0'; --后 25 个周期输出为低电平 CNT := CNT + 1; ELSE CNT := 0; END IF; END IF; END PROCESS DIVIDE_CLK; END ARCHITECTURE ART; (6 分)

山大专升本PLC应用技术试题级答案

山大专升本PLC应用技术试题级答案
2、简述CPM系列机的继电器区与数据区的组成。
3、简述增量式光电编码器的信号输出形式及应用场合。
4、简述PC与PLC互联的常用结构形式。
5、动态输入模块用作静态输入和动态输入时各有什么特点?
第1页共4页
山东大学PLC原理及应用课程试卷学年学期
得分
阅卷人
三、转换
1、由梯形图写出相应的语句表
2、由梯形图写出相应的语句表
LD 00102
ANDNOT 01000
ORNOT 00101
OUT 01001
LD 00103
ANDNOT 00104
OUTNOT 01002
OUT 01003
第2页共4页
山东大学PLC原理及应用课程试卷学年学期
得分
阅卷人
四、编程
1、试编写一个程序,要求如下:按下“启动”按钮后,灯1亮,1秒后灯2亮,2秒后灯3亮,3秒后灯1灭,4秒后灯2灭,5秒后灯3灭,6秒后灯1亮……;按下“停止”按钮,各灯立即熄灭,再按“启动”按钮后,重新开始工作。
3、由梯形图写出相应的语句表
4、由语句表画出相应的梯形图
LD00000
IL
LD00002
OUT01000
LD00003
OUT01001
LD00001
IL
LD00004
OUT01002
LD00005
OUT01003
ILC
5、由语句表画出相应的梯形图LDNOT ຫໍສະໝຸດ 0100AND 00101
OUT 01000
1、输入输出及内存分配如下:
输入信号:启动00000停止00002
输出信号:灯101000灯201001
灯301002
内部信号:停止状态20001定时器自TC号000开始使用
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

五、VHDL程序设计:(16分)
设计一数据选择器MUX,其系统模块图和功能表如下图所示。

试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。

(a) 用if语句。

(b) 用case语句。

(c) 用when else语句。

Library ieee;
Use ieee.std_logic_1164.all;
Entity mymux is
Port ( sel : in std_logic_vector(1 downto 0); -- 选择信号输入
Ain, Bin : in std_logic_vector(1 downto 0); -- 数据输入
Cout : out std_logic_vector(1 downto 0) );
End mymux; 六、根据原理图写出相应的VHDL程序:(10分)
七、综合题(20分)
下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。

控制器(control )是一个状态机,完成AD574的控制,和adram 的写入操作。

Adram 是一个LPM_RAM_DP 单元,在wren 为’1’时允许写入数据。

试分别回答问题 放大
采样/保持AnalogIn 信号预处理FPGA采集控制
adram
(lpm_ram_dp)Control
AD574CS ADData 12
CE A0
RC K12_8地址
计数器
CLK
ClkInc Cntclr wraddr 10wren rddata 12rddata rdaddr 10
121STATUS 下面列出了AD574的控制方式和控制时序图
AD574逻辑控制真值表(X 表示任意) CE CS RC K12_8 A0
工 作 状 态 0 X X X X 禁止 X 1 X X X 禁止 1 0 0 X 0 启动12位转换 1 0 0 X 1 启动8位转换 1 0 1 1 X 12位并行输出有效 1 0 1 0 0 高8位并行输出有效 1
1
1
低4位加上尾随4个0有效
AD574工作时序:
问题:
1. 要求AD574工作在12位转换模式,K12_8、A0在control 中如何设置?
2. 试画出control 的状态机的状态图
3. 地址计数器每当ClkInc 时钟上升沿到达,输出地址加1,请对该模块进行VHDL 描述。

Library ieee;
Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all;
Entity cnt10 is Port ( ClkInc, Cntclr : in std_logic; -- 时钟信号和清零信号输入
Wraddr : out std_logic_vector (9 downto 0) );
End cnt10;。

相关文档
最新文档