EDA练习题
EDA习题
第一章绪论作业1、EDA的英文全称是什么?EDA的中文含义是什么?答:EDA的英文全称是Electronic Design Automation;中文含义是电子设计自动化。
5、FPGA和CPLD各包含几个基本组成部分?答:FPGA和CPLD均包含三个部分:可编程逻辑单元阵列、可编程互连、可编程I/O单元。
CPLD内部的可编程逻辑单元以乘积项阵列为主,而FPGA内部采用LUT加寄存器结构。
6、FPGA和CPLD各有什么特点?二者在存储逻辑信息方面有什么区别?在实际使用中,在什么情况下选用CPLD?在什么情况下选用FPGA?答:特点:CPLD内部的可编程逻辑单元以乘积项阵列为主,触发器数量相对FPGA要少,规模和复杂度较低。
FPGA内部采用LUT加寄存器结构,触发器数量多,规模和复杂度较高。
在存储逻辑信息方面,CPLD主要采用PROM存储信息;FPGA主要采用SRAM存储信息。
在实际使用中,一般规模逻辑设计,以控制功能为主的情况下优先选用CPLD。
对于复杂逻辑设计,需要存储大量数据的情况下优先选用FPGA。
10、对于目标器件为FPGA/CPLD的VHDL设计,其工程设计包括几个主要步骤?每步的结果是什么?答:主要设计步骤:(1)设计输入:采用HDL语言、原理图、状态图等方式,结果为设计的源代码。
(2)逻辑综合:将RTL级描述转换为优化过的具有特定工艺的门级实现,产生网表文件。
(3)目标器件的布线/适配:将综合器产生的网表文件映射到目标器件中,产生最终的下载文件。
(4)目标器件的编程/下载,得到具有特定功能的电路。
(5)硬件仿真、测试,11、名称解释逻辑综合、逻辑适配、行为仿真、功能仿真、时序仿真答:逻辑综合:将RTL级描述转换为优化过的具有特定工艺的门级实现,即网表文件。
逻辑适配:将综合器产生的网表文件映射到目标器件中,产生最终的下载文件。
行为仿真:将源程序直接送到VHDL仿真器中所进行的仿真。
功能仿真:将综合后的网表文件送到VHDL仿真器中所进行的仿真。
EDA习题集
《电子设计自动化(EDA)》习题集第一章、EDA技术概述一、填空题1、一般把EDA技术的发展分为 、、 三个阶段。
2、EDA设计流程包括 、 、、 四个步骤。
3、EDA的设计验证包括 、 、 三个过程。
4、EDA的设计输入包括 、 、 。
5、当前最流行的并成为IEEE标准的硬件描述语言包括和 。
6、将硬件描述语言转化为硬件电路的重要工具软件称为 。
二、单项选择题1、VHDL语言属于 描述语言。
A.普通硬件 B. 行为 C. 高级D. 低级2、基于硬件描述语言HDL的数字系统设计目前最常用的设计方法为A. 自底向上B. 自顶向下C. 积木式D. 顶层3、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器4、在EDA工具中,能完成目标系统器件上布局软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器 第2章、大规模可编程逻辑器件 一、填空题1、集成度是集成电路一项重要的指标,可编程逻辑器件按集成密度可分为 和 两类。
2、可编程逻辑器件的编程方式可分为 和 两类。
3、基于EPROM、E2PROM和快闪存储器件的可编程器件,在系统断电后编程信息 。
4、基于SRAM结构的可编程器件,在系统断电后编程信息 。
5、CPLD器件中至少包括 、 、 三种结构。
6、FPGA的三种可编程电路分别是 、、 三种结构。
7、根据逻辑功能块的大小不同,可将FPGA分为和 两类;据FPGA内部连线结构的不同,可将FPGA分为 和 两类;据FPGA采用的开关元件不同,可将FPGA分为 和 两类.8、目前常见的可编程逻辑器件的编程和配置工艺包括基于 、基于 和基于 三种编程工艺。
二、 单项选择题1、在下列可编程逻辑器件中,不属于高密度可编程逻辑器件的是A. EPLDB. CPLDC. FPGAD. PAL2、在下列可编程逻辑器件中,属于易失性器件的是A. EPLDB. CPLDC. FPGAD. PAL3、在自顶向下的设计过程中,描述器件总功能的模块一般称为A.底层设计 B. 顶层设计 C. 完整设计 D. 全面设计4、边界扫描测试技术主要解决 的测试问题A.印制电路板 B. 数字系统 C. 芯片 D. 微处理器 三、 简答题1、CPLD和FPGA有什么差异?在实际应用中各有什么特点?第3章、VHDL编程基础一、填空题1、VHDL设计实体的基本结构由 、、 、 和 等部分组成。
EDA技术EDA技术试卷(练习题库)(2023版)
EDA技术EDA技术试卷(练习题库)1、个项目的输入输出端口是定义在()。
2、描述项目具有逻辑功能的是()。
3、关键字ARCHITECTURE定义的是。
4、M AXP1USII中编译VHD1源程序时要求()。
5、1987标准的VHD1语言对大小写是()。
6、关于1987标准的VHD1语言中,标识符描述正确的是()。
7、符合1987VHD1标准的标识符是()。
8、VHD1语言中变量定义的位置是()。
9、VHD1语言中信号定义的位置是()。
10、变量是局部量可以写在()。
11、变量和信号的描述正确的是()。
12、关于VHD1数据类型,正确的是()。
13、下面数据中属于实数的是()。
14、下面数据中属于位矢量的是()。
15、可以不必声明而直接引用的数据类型是()。
16、STD_10GIG_1164中定义的高阻是字符()。
17、STD_10GIG」164中字符H定义的是()。
18、使用STD_1OG1G」164使用的数据类型时()。
19、VHD1运算符优先级的说法正确的是()。
20、如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。
21、不属于顺序语句的是()。
22、正确给变量X赋值的语句是()。
23、EDA的中文含义是()。
24、EPF10K20TC144-4具有多少个管脚()。
25、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。
26、MAX+P1USII的,数据类型为std_1ogic_vector,试指出下面那个30、在一个VHD1,数据类型为integer,数据范围0to127,下面哪个赋31、下列那个流程是正确的基于EDA软件的FPGA/CP1D和变量的说法,哪一个是不正确的:()。
33、下列语句中,不属于并行语句的是:()。
34、O在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。
35、不是操作符号它只相当与作用〃target=Zb1ank〃>在VHD1的CASE语句中,条件句中的“二>”不是操作符号,它只相当与O作用。
EDA期末复习题试题
复习题(开卷)一、填空题与简答题1、ASIC的中文含义是:专用集成电路。
2、在VHDL中主要有哪三种重载现象参数类型的重载;参数数目的重载;函数返回类型的重载。
3、简单可编程逻辑器件的主要有PROM、PLA、PAL、GAL4、CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个GAL器件:5、在设计中,常常采用的设计方法有直接设计方法、自顶向下和自底向上的设计方法。
6、CPLD的一般采用“与-或阵列”结构。
7、一个完整的VHDL程序包括库、程序包、实体、结构体和配置五个部分。
8、PLD的中文含义是:可编程逻辑器件。
9、“与-或”结构的可编程逻辑器件主要由四部分构成:输入电路、可编程“与”阵列、可编程或阵列、输出电路10、FPGA的一般采用“查找表”结构。
11.VHDL的全拼Very high speed integrated Hardware Description Language12.子程序有即过程(PROCEDURE)、函数〔FUNCTION〕两种类型。
13、CPLD的中文含义是复杂可编程逻辑器件。
14、复杂可编程逻辑器件的主要有CPLD 和FPGA 。
15、FPGA的中文含义是现场可编程门阵列。
16.CPLD的基本结构看成由可编程逻辑宏单元可编程I/O控制模块和可编程内部连线组成。
17.FPGA由可编程逻辑块(CLB)、可编程互连单元(I/O)和可编程互连三种可编程电路和一个SRAM结构的配置存储单元组成。
18.EDA:电子设计自动化B:逻辑阵列块20.ESB:嵌入式系统块21.FAST TRACK:快速通道22.同步:各个逻辑单元共用一个时钟23.信号与变量使用时有何区别?答:(1)值的代入形式不同。
(2)变量值可以送给信号,信号值不能送给变量。
(3)信号是全局量,变量是局部量。
(4)操作过程不同。
24.VHDL语言在结构上分为哪几部分?答:VHDL语言在结构上一般分为实体(ENTITY)与结构体(ARCHITECTURE)两大部分。
eda复习题
EDA复习题选择题1.一个项目的输入输出端口是定义在。
A. 实体中B. 结构体中C. 任何位置D. 进程体2.描述项目具有逻辑功能的是。
A. 实体B. 结构体C. 配置D. 进程3.关键字ARCHITECTURE定义的是。
A. 结构体B. 进程C. 实体D. 配置4. 关于1987标准的VHDL语言中,标识符描述正确的是。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以5. VHDL语言中变量定义的位置是。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置6. VHDL语言中信号定义的位置是。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置7.变量和信号的描述正确的是。
A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别8.变量和信号的描述正确的是。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别9.下面数据中属于实数的是。
A. 4.2B. 3C. ‘1’D. “11011”10. 下面数据中属于位矢量的是。
A. 4.2B. 3C. ‘1’D. “11011”11. STD_LOGIG_1164中定义的高阻是字符。
A. XB. xC. zD. Z12. STD_LOGIG_1164中字符H定义的是。
A. 弱信号1B. 弱信号0C. 没有这个定义D. 初始值13.使用STD_LOGIG_1164使用的数据类型时。
A.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D. 必须在结构体中声明14. VHDL运算符优先级的说法正确的是。
A. 括号不能改变优先级B. 不能使用括号C. 括号的优先级最低D. 括号可以改变优先级15.如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是。
eda考试题及答案
eda考试题及答案题分为两部分~第一部分画图制pcb 版~分9个图,要分别进行练习~如下:1、用protel99画出原理图,并制出相应的3000*3000(mil)PCB板其中:U1的封装为DIP14,U2的封装为DIP16,R1、R2的封装为AXIAL0.3,C1的封装为RAD0.2,Y1的封装为XTAL1,S1的封装为DIP16,J2的封装为SIP2。
2、用protel99画出原理图,并制出相应的3000*3000(mil)PCB板其中:R1、R2、R3、R4、Rc、RL的封装为AXIAL0.4,Rw的封装为VR3,C1、C2、Ce的封装为RB.2/.4,Q1的封装为TO-92A,J1、J2的封装为SIP2。
3、用protel99画出原理图,并制出相应的2000*2000(mil)PCB板其中:R1、R2、R3的封装为AXIAL0.4,R的封装为VR2,UA741的封装为DIP8,JP1、JP2的封装为SIP2。
4、用protel99画出原理图,并制出相应的3000*3000(mil)PCB板其中:R1、R2、R3、R4、R5、R6、R7的封装为AXIAL0.4,U1、U2、DS1的封装为DIP16。
5、用protel99画出原理图,并制出相应的2000*2000(mil)PCB板其中:U1、U2的封装为DIP14,J1、J2的封装为SIP2。
6、用protel99画出原理图,并制出相应的2000*2000(mil)PCB板其中:U1的封装为DIP16,U2、U3的封装为DIP14, J2的封装为SIP3。
7、用protel99画出原理图,并制出相应的2000*3000(mil)PCB板其中:R1、R2、R3、R4、R5、R6、R7的封装为AXIAL0.4,Rw的封装为VR3,U1的封装为DIP8, J1的封装为SIP2。
8、用protel99画出原理图,并制出相应的2000*2000(mil)PCB板其中: U1的封装为DIP16,U2的封装为DIP14,J1的封装为SIP6。
EDA技术复习题
一、填空1、ASIC的中文含义是__专用集成电路_____;2、EDA的中文含义是_电子设计自动化;3、PROM的中文含义是_______4、EEPROM的中文含义是_______5、SOPC的中文含义是_______6、PLD的中文含义是______可编程逻辑器件_____________;7、HDL的中文含义是_硬件描述语言;8、CPLD的中文含义是_复杂可编程逻辑器件;9、FPGA 的中文含义是_现场可编程门阵列。
10、LUT的中文含义是__查找表_______________。
11、RTL的中文含义是_寄存器传输级(Register Transfer Level)12、PAR的中文含义是_布局布线13、UUT的中文含义是_被测单元(Unit Under Test)14、JTAG的中文含义是_联合测试行动小组(Joint Test Action Group)15、在ISE软件中的原理图输入时,用元件符号INV表示非门。
16、目前应用最广泛的HDL(硬件描述语言)有__VHDL语言,_Verilog HDL_语言。
17、FPGA在结构上主要分成三个部分:可编程逻辑单元,可编程输入输出单元,可编程连线.CPLD在结构上主要分成三个部分:可编程逻辑宏单元,可编程输入输出单元,可编程内部连线18、目前主流的FPGA都采用了基于SRAM 工艺的查找表结构。
FPGA芯片主要由6部分构成,分别是可编程I/O单元、基本可编程逻辑单元、完整的时钟管理、嵌入块式RAM、丰富的布线资源、内嵌的底层功能单元和内嵌专用硬件模块。
19、CPLD由可编程的与/或阵列以及宏单元库构成,CPLD主要由可编程I/O单元、基本逻辑块、互连资源和其它辅助功能模块构成。
20、Xilinx 公司器件主要包括Xilinx CPLD 芯片、FPGA 芯片、PROM 芯片,其中,XC9500系列是属于CPLD 芯片,而Spartan 类和Virtex 类是属于FPGA芯片,在这两大类芯片中Virtex 类是高端产品。
EDA技术期末复习题
EDA技术期末复习题1.结构体中包含了四类功能描述语句:语句、______________语句、子程序调用语句和语句。
(P304)2. 下列关于程序包的用法正确的是: ________ (P319)A、一个程序包中只能包含常数说明,VHDL数据类型说明,元件定义和子程序这几种结构之一或他们中的几种B、程序包首可以独立定义和使用C、程序包结构中,必须同时含有程序包首和程序包体D、一个完整的程序包中,程序包首名和程序包体名可以不是同一个名字3. 参数传递说明语句以关键词引导一个类属参量表,通常在实体中的位置处于语句之前。
(P300-301)4. 下列逻辑操作符中哪个的优先级最高:_______。
A. ANDB. ORC. NOTD. XOR5. 下列关于操作符说法不正确的是:_______ (P333)A、关系操作符的操作对象可以是VHDL中的任何数据类型构成的操作数B、关系操作符的返回值是布尔类型数据C、MOD和REM的操作数数据类型只能是整数,运算操作结果也是整数。
D、SLL是左移位操作,右边跟进的位补零6. 下列说法正确的是: _______。
(P332)A、只有BIT型和整型数据可以参与加减运算。
B、操作符是有优先级别的,其中逻辑运算符的级别最低。
C、BIT 、BOOLEAN和STD_LOGIC可以进行逻辑运算D、a nand b nand c 这串运算可以不加括号7. 下列语句中,不属于并行语句的是:________A、进程语句B、CASE语句C、元件例化语句D、WHEN…ELSE…语句8. 下列语句中,不属于顺序语句的是:_______。
A. WHEN…ELSE…语句B. IF语句C. LOOP语句D. CASE语句9. 以下关于VHDL中常量的声明正确的是________A、Constant Width :Integer=8;B、Constant Width :Integer := 8;C、Variable Width :Integer = 8;D、Variable Width :Integer := 8;10. 下列哪个库需要在VHDL程序中明确打开并指定________A、STDB、IEEEC、WORKD、自定义库11. VHDL中最为常用的是库。
EDA复习题
58.使用QuartusⅡ工具软件修改设计元件符号,应采用( )方式。
A.图形编辑 B.文本编辑 C.符号编辑 D.波形编辑
\\C
59.在QuartusⅡ工具软件中,完成编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为( )。
\\D
103.VHDL常用的库是( )标准库。
A.IEEE B.STD C.WORK D.PACKAGE
\\A
104.VHDL的实体声明部分用来指定设计单元的( )。
A.输入端口 B.输出端口 C.引脚 D.以上均可
\\D
105.一个设计实体可以拥有一个或多个( )。
\\AB?D
50.在设计处理过程中,可产生供器件编程使用的数据文件有( )文件。
A.熔丝图 B.位流数据 C.图形 D.仿真
\\AB??
93.VHDL中能使用的库有( )。
A.IEEE B.STD C.WORK D.PACKAGE
A.5 B.4 C.3 D.2
\\C
3.AHDL属于( )描述语言。
A.普通硬件 B.行为 C.高级 D.低级
\\A
4.VHDL属于( )描述语言。
A.普通硬件 B.行为 C.高级 D.低级
\\B
A.VHDL B.VerilogHDL C.AHD D.CUPL
\\B
12.基于PLD芯片的设计称之为( )的设计。
A.自底向上 B.自顶向下 C.积木式 D.顶层
\\A
13.基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为( )设计法。
EDA期末考试复习题——重庆科技学院专用
EDA期末考试复习题选择题1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可以不同B. 文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A必须以英文字母开头B可以使用汉字开头C可以使用数字开D任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A下划线可以连用B下划线不能连用 C不能使用下划线 D可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
EDA技术习题集及答案
第一章 EDA概述一、填空题1.2000年推出的Pentium 4微处理器芯片的集成度达——万只晶体管。
2.一般把EDA技术的发展分为——、——和——三个阶段。
3.在EDA发展的——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。
4.在EDA发展的——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。
5.EDA设计流程包括——、——、——和——四个步骤。
6.EDA的设计验证包括——、——和——三个过程。
7.EDA的设计输入主要包括——、——和———。
8.文本输入是指采用——进行电路设计的方式。
9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。
10.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为——或——。
11.当前最流行的并成为1EEE标准的硬件描述语言包括————和——。
12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为——的设计。
13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为——的设计法。
14.EDA工具大致可以分为——、——、———、———和——等五个模块。
15.将硬件描述语吉转化为硬件电路的重要工具软件称为——————。
二、单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( )。
①设计输入②设计输出③仿真④综合2.一般把EDA技术的发展分为( )几个阶段。
①2 ②3 ③4 ④53.AHDL属于( )描述语言。
①普通硬件②行为③高级④低级4.vHDL属于( )描述语言。
①普通硬件②行为③高级④低级5.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( )。
数字系统设计与EDA技术课后练习题含答案
数字系统设计与EDA技术课后练习题含答案一、十进制和二进制数转换练习题1:将十进制数87转换为二进制数。
答案1:87的二进制表示为1010111。
练习题2:将二进制数1110101转换为十进制数。
答案2:1110101的十进制表示为117。
练习题3:将十进制数170转换为八进制数。
答案3:170的八进制表示为252。
练习题4:将八进制数243转换为十进制数。
答案4:243的十进制表示为163。
练习题5:将十进制数189转换为十六进制数。
答案5:189的十六进制表示为0xBD。
练习题6:将十六进制数0x3F转换为十进制数。
答案6:0x3F的十进制表示为63。
二、布尔代数和逻辑门电路练习题1:使用逻辑门实现以下布尔代数:Y = (A and B and C) or D答案1:这个布尔代数可以用以下逻辑门实现: +----+A -------| ||AND +--------+B -------| | |+----+ +----v----+C --------------| || OR +----- YD --------------| |+----------+练习题2:设计一个电子逻辑门电路,将两个单字节二进制数相加。
输入是两个二进制数,输出是相加的结果。
假设不会有溢出。
答案2:这个电子逻辑门电路可以用以下连线和逻辑门实现:输入1 --> +-----+--> | |+-----+| | | ADD +--> C3 --------+ +-----+--> || |输入2 --> +-----+--> | | | | | | | ADD |+-----+--> C2 --------+-->| |--> | +--+--+| | ||| | ||+-----+--> ||||||+-----+--> C1 --------+--> 输出其中ADD代表一个加法器电路,C1-C3代表进位。
altium designer练习题
altium designer练习题Altium Designer是一款功能强大的电子设计自动化(EDA)软件,广泛应用于电子产品设计和PCB布局。
为了帮助大家更好地掌握Altium Designer的使用技巧,下面将给出一些练习题,旨在提供实践机会,加深对软件的理解和运用。
1. 绘制一个简单的电路图在Altium Designer中创建一个新的电路图,绘制一个简单的电路,例如一个LED灯的电路。
包括电源、电阻、LED灯和电源接地。
确保电路图的连线准确、清晰可读。
2. 添加电源和元件参数在前面绘制的电路图中,给电源和各个元件添加参数。
例如,对于电源,可以设置电压值和电流值;对于电阻,可以设置阻值;对于LED灯,可以设置电压、电流和功率等参数。
3. 创建PCB布局在Altium Designer中创建一个新的PCB文件,根据前面绘制的电路图进行布局。
确保元件的位置合理,连线路径清晰简洁。
利用软件的布局工具,可以自动排列元件,提高生产效率。
4. 进行网络连接在PCB布局中,使用软件提供的功能进行网络连接。
确保连线正确无误,并且符合电路图的要求。
根据需要添加电源和地线,连接各个元件,形成完整的电路板布局。
5. 添加焊盘和丝印在PCB布局中,为各个元件添加焊盘和丝印。
焊盘用于元件的焊接,丝印用于标识元件的名称、值或其他信息。
确保焊盘的大小和形状与元件匹配,丝印的位置清晰可读。
6. 进行布线在PCB布局中,使用软件的布线功能,对各个元件进行适当的布线。
确保信号线和电源线之间的距离足够,以免干扰;同时,避免信号线之间的交叉和干扰。
优化布线路径,使其简洁美观。
7. 生成制造文件在Altium Designer中,生成制造文件,包括Gerber文件、钻孔文件等。
确保生成的文件与PCB布局一致,并符合制造厂商的要求。
可通过软件提供的检查功能进行验证,避免制造中的问题。
这些练习题涵盖了Altium Designer的基本操作和常见任务,帮助初学者熟悉软件界面和功能。
EDA习题答案
习题 5-6 根据图 5-23,写出顶层文件 MX3256.VHD 的 VHDL 设计文件。
习题 4-11 用 D 触发器设计 3 位二进制加法计数器。 解:注意 D 触发器级联时应取非端,否则只能作分频器
下图是异步计数器方式,同步计数器方式请读者自行考虑
习题 4-12 用 D 触发器构成按循环码(000->001->011->111->101->100->000)规律工作的六进制同步计数 器。
第 1 章 概述
11
diff : OUT STD_LOGIC; s_out : OUT STD_LOGIC ); end component; signal t0,t1,t2 : STD_LOGIC; BEGIN u1 : h_suber PORT MAP(x => x, y => y, diff => t0, s_out => t1); u2 : h_suber PORT MAP(x => t0, y => sub_in, diff => diffr, s_out => t2); sub_out <= t1 OR t2; END; (2)8 位减法器,关于生成语句可以参见第 9 章
0
0
library ieee; use ieee.std_logic_1164.all;
entity h_suber is port ( x,y : in std_logic; diff,s_out : out std_logic );
《EDA技术》复习题-电子版答案
一、填空题1、目前国际上较大的PLD器件制造公司有Altera 和Xilinx 公司。
2、当前最流行并成为IEEE标准的硬件描述语言包括VHDL 和V erilog HDL 。
3、高密度可编程逻辑器件HDPLD包括EPLD 、CPLD 和FPGA 。
4、PLD从集成密度上可分为LDPLD 和HDPLD两类,其中HDPLD包括__EPLD_ ____、__ CPLD_____和___FPGA _____三种。
5、EDA设计流程包括设计准备、设计输入、设计处理和器件编程四个步骤。
6、图形文件的扩展名是_.bdf__;QuartusII所建工程的扩展名是_.qpf_;自建元件图形符号文件的扩展名_.bsf__;Verilog HDL所编程序的扩展名为__.v__ 。
7、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是.vwf ;自建元件图形符号文件的扩展名 .bsf 。
8、可编程逻辑器件的优化过程主要是对__速度__和___资源___的处理过程即时间优化和面积优化。
9、EDA设计输入主要包括__图形输入__、__ 文本输入和__ 波形__输入。
10、设计处理的最后一步是产生可供器件编程使用的数据文件,对CPLD来说是产生熔丝图文件即JEDEC文件,对于FPGA来说是产生位流数据文件Bitstream 。
11、EDA设计输入主要包括图形输入、文本输入和波形输入。
12、设计优化主要包括面积优化和速度优化。
13、Verilog HDL语言的扩展名为 .v 。
14、EDA的中文名称是电子设计自动化。
15、EDA仿真分为功能仿真又称前仿真、系统级仿真或行为仿真,用于验证系统的功能;时序仿真又称后仿真、电路级仿真,用于验证系统的时序特性、系统性能。
16、一般把EDA技术的发展分为_ __CAD____、__ CAE_____和___EDA____三个阶段。
17、阻塞型赋值符号为= ,非阻塞型赋值符号为<= 。
完整word版EDA技术与VHDL复习练习题
EDA技术与VHDL复习练习题 8、GAL16V8的_______不可编程:A:与阵列 B:或阵列>※<习题一 C:输出逻辑宏单元OLMC D:A、B都三、判断题一、填空题1、GAL器件的输出逻辑宏单元OLMC不能实现PAL________1、PLD的中文含义是:。
器件的所有输出形式。
() ASIC的中文含义是:________。
2、2、PAL器件只能一次编程。
()、“与-或”结构的可编程逻辑器件主要由四33、GAL器件只能一次编程。
()____________________、部分构成:________、4、PAL和GAL器件需要使用专门的编程器编程。
____________。
和5、PAL器件可以在系统编程。
()”表示此、可编程逻辑器件结构图中一般用“x46、GAL器件可以在系统编程。
()________。
编程单元为7、PAL器件可以取代GAL器件。
()、可编程逻辑器件结构图中一般用“·”表示58、GAL器件可以取代PAL器件。
()。
此编程单元为________9、GAL器件可以使用紫外线擦除。
()、可编程逻辑器件结构图中无任何标记表示此610、GAL器件OLMC不可编程。
()。
编程单元为________11、GAL器件不能加密。
()、可编程逻辑器件按规模的大小一般分为7。
和_________________答案:和________8、低密度可编程逻辑器件的主要有一、填空题 _________。
1、可编程逻辑器件2、专用集成电路________9、GAL器件取代全部PAL器件。
3、输入电路、可编程“与”阵列、可编程或阵 PAL次编程。
器件只能________10、列、输出电路4、编程连接5、固定连接 ________器件能次编程。
11、GAL6、不连接7、低密度可编程逻辑器件、髙密度可 TTL器件。
取代器件、12GAL________编程逻辑器件8、PAL、GAL9、可以10、一 GAL、器件采用擦除。
《EDA技术实用教程(第四版)》(包括第九、十章)习题答案解析
《EDA技术实用教程(第四版)》习题1 习题1-1EDA技术与ASIC设计和FPGA开发有什么关系?FPGA在ASIC设计中有什么用途?P3~41-2 与软件描述语言相比,VHDL有什么特点? P6l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?P51-4 在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~101-5 IP在EDA技术的应用和发展中的意义是什么? P22~141-6叙述EDA的FPGA/CPLD设计流程,以及涉及的EDA工具及其在整个流程中的作用。
(P11~13)2 习题2-1OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。
P34~362-2什么是基于乘积项的可编程逻辑结构?P33~34,40什么是基于查找表的可编程逻辑结构?P40~412-3FPGA系列器件中的LAB有何作用? P43~452-5 解释编程与配置这两个概念。
P582-6 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~563 习题3-1 画出与以下实体描述对应的原理图符号元件:ENTITY buf3s IS --实体1:三态缓冲器PORT(input:IN STD_LOGIC; --输入端enable:IN STD_LOGIC; --使能端output:OUT STD_LOGIC); --输出端END buf3s ;ENTITY mux21 IS --实体2: 2选1多路选择器PORT(in0, in1,sel: IN STD_LOGIC;output:OUT STD_LOGIC);mux21in0outputin1sel3-2 图3-16所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y<=a、y<=b、y<=c、y<=d。
《EDA技术实用教程(第五版)》课后习题答案(第1_10章)
《EDA技术实用教程(第五版)》课后习题及答案1 习题1-1EDA技术与ASIC设计和FPGA开发有什么关系?FPGA在ASIC设计中有什么用途?P3~4EDA技术与ASIC设计和FPGA开发有什么关系?答:利用EDA 技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。
FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。
FPGA在ASIC设计中有什么用途?答:FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA实现ASIC设计的现场可编程器件。
1-2 与软件描述语言相比,VHDL有什么特点? P4~6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?P6什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
EDA期末复习题
第一章1、什么是EDA技术?EDA的英文全称是什么?答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
2、利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
3、从使用的角度讲,EDA技术主要包括几个方面的内容?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。
其中,硬件描述语言是重点。
4、硬件描述语言VHDL的特点是什么?5、什么是综合?有哪些类型?综合在电子设计自己动化地位是什么?6、什么是VHDL语言的自顶向下的设计方法?它与传统的数字逻辑系统设计方法有何不同?1、对于目标器件为FPGA/CPLD的VHDL设计,其工程设计包括几个主要步骤?每步的作用是什么?每步的结果是什么?答:第一:需要进行“源程序的编辑和编译”—用一定的逻辑表达手段将设计表达出来;第二:要进行“逻辑综合”---将用一定的逻辑表达手段将表达出来的设计经过一系列的操作,分解成一系列的逻辑电路及对应的关系(电路分解);第三:要进行目标器件的“布线/适配”---在选用的目标器件中建立这些基本逻辑电路的对应关系(逻辑实现)第四:目标器件的编程下载---将前面的软件设计经过编程变成具体的设计系统(物理实现);最后要进行硬件仿真/硬件测试---验证所设计的系统是否符合要求。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第一章一、填空题1、目前,应用最为广泛的可编程逻辑器件是CPLD和FPGA 。
2、第三方EDA工具中,逻辑综合性能最好的是Synplify,仿真功能最强大的是ModelSim。
3、数据处理子系统主要由存储器、运算器、数据选择器等功能电路组成。
4、数字系统设计的方法有模块设计法、自顶向下设计法和自底向上设计法等。
5、VHDL工程设计流程主要包括设计系统任务分析,确定逻辑算法,确立系统及子系统模型,系统(或模块)逻辑描述,逻辑电路级设计及系统仿真,系统的物理实现等步骤。
二、选择题1、CPLD/FPGA最显著的特点不包括(B )。
A. 高集成度B.可移植性C. 高速度D. 高可靠性2、下列硬件描述语言中成为IEEE标准的是(A )。
A. VHDLB. ABELC. System VerilogD. System C3、数字系统设计的全过程不包括( D )。
A. 系统级B. 电路级C. 物理级D. RTL级4、将VHDL程序直接送入VHDL仿真器,只根据VHDL的语义对VHDL所描述的内容进行仿真,这种仿真方式称为(A )。
A. 行为仿真B. 功能仿真C.时序仿真D. 门级仿真5、可以将VHDL程序设计进行编译、优化、转换和综合后得到VHDL 网表文件( C )。
A. 编译器B. 仿真器C. 综合器D. 适配器练习二一、填空题1、最早颁布的VHDL的标准版本是IEEE-1076。
2、VHDL采用相对独立设计系统,因此对工程师对硬件电路知识了解程度的要求不高。
3、VHDL的程序结构特点是将一项设计实体分成内部和外部。
4、在数字电路中,普通的TTL门或CMOS门只有两个状态,即0 和15、符号<=的含义是传值。
二、选择题1、下列硬件描述语言中最适合于描述门级电路的是(C)。
A. VHDLB. Verilog HDLC. ABELD. AHDL2、综合时,VHDL源程序不需要依次下面哪个层次的转化( B )。
A. 行为级B. 系统级C. RTL级D. 门级3、受支持程度最高的硬件描述语言是(A)。
A. VHDLB. ABELC. AHDLD. SystemVerilog4、三态门的输出状态不包括(D)。
A. 逻辑0B. 逻辑1C. 高阻态D. 低阻态5、下列哪个不是一个可综合的VHDL描述的最基本的逻辑结构中最不可缺少的三个部分(C)。
A.标准库说明B. 实体C. 配置D. 结构体练习三一、填空题1、实体主要用于描述实体与外部电路的接口。
2、类属参量以关键词GENERIC 引导一个类属参量表。
3、VHDL语言中的库分为IEEE库和STD库两类。
4、STD库包含了符合VHDL语言标准的两个标准程序包:STANDARDTEXTIO5 默认配置格式选择不包含块语句、元件的模块的构造体。
二、选择题1、用于存放各个设计模块共享的数据类型、常数和子程序等(A)。
A. 程序包B.库C. 配置语句D. 实体2、结构体的描述方式不包括(C)。
A. 行为描述B. 数据流描述C. RTL描述D. 结构化描述3、IEEE标准程序包不包括(D)。
A. STD_LOGIC_1164B. NUMERIC_BITC. NUMERIC_STDD. TEXTIO4、用于描述设计实体的内部构造及实体端口间的逻辑关系(B)。
A. 结构体B. 实体C. 进程D. 子程序5、VHDL的子程序类型有(B)。
A. 进程B. 过程和函数C. 配置D. 程序包练习四一、填空题1、VHDL语言文字主要包括.数值标识符。
2、数字系统设计中,常用的数据对象有常量变量信号。
3VHDL语言的数据类型包括标量型复合类型存取类型文件类型.4、VHDL语言中预定义的整数范围为-2147 483 647~+2147 483 6475、VHDL允许定义两种不同类型的数组,即限定性数组非限定性数组二、选择题1、VHDL语言数值型文字不包括(C )。
A. 数字型B. 字符串型C. 整型D. 位串型2、VHDL实数型文字表达正确的是( B )。
A. 159E5B. 34.633C. 8#175#D. 50pf3、信号在中不能定义,只能使用( D )。
A. 程序包B. 实体C. 结构体D.子程序4、能够将标准逻辑位矢量类型转换成位矢量类型的转换函数是( C )。
A. TO_BITVECTORB. TO_STDLOGICVECTORC. TO_STDLOGICD. TO_BIT5、VHDL语言的4类操作符中,哪个不完全是逻辑和算数操作的最基本的操作符的单元(D )。
A. 逻辑操作符B. 关系操作符C. 算术操作符D. 重载操作符练习五一、填空题1、从执行顺序上划分,VHDL语言可以分为顺序语句并行语句两大类。
2、VHDL赋值语句由赋值目标赋值符号赋值源三个基本部分组成。
3、VHDL语言中的进程在运行时只存在两种状态:等待执行4、子程序的结构包括子程序首子程序体5、REPORT子句的默认输出字符SEVERITY子句的默认输出是错误等级。
二、选择题1、下列语句中不属于流程控制语句的是( B )。
A. IF语句B.ASSERT语句C. LOOP语句D. EXIT语句2、IF语句的条件表达式中只能是( A )。
A. 关系运算操作和逻辑运算操作的组合B. 关系运算操作和算术运算操作的组合C. 算术运算操作和逻辑运算操作的组合D. 逻辑运算操作和重载运算操作的组合3、当前面所有条件句中的选择值未能完整覆盖CASE语句中表达式的取值时,最末一个条件句中必须使用关键字( A )。
A. OTHERSB.EXITC. NULLD. REPORT4、LOOP循环语句的三种表达方式不包括( C )。
A. 单个LOOP语句B. FOR_LOOP语句C. UNTIL_LOOP语句D. WHILE_LOOP语句5、在仿真时出现致命错误而必须立即停止的错误等级是(D )。
A. ERRORB. WARNINGC. NOTED. FAILURE练习六一、填空题1、PROCESS语句主要由进程说明部分顺序描述语句敏感信号参数表三部分组成。
2.CASE语句只能顺序语句使用而选择信号赋值语句可用并行语句。
3、元件例化语句中的接口表达式有名字关联方式和位置关联式两种方式。
4、设计从外部端口改变元件内部参数或结构规模的元件可以使用元件例化语句语句。
生成语句有两种不同的语句格式,分别为FOR语句结构和IF语句结构。
二、选择题1、下列语句中,不属于并行语句的是(D )。
A. PROCESSB. PORT MAPC. GENERATED. CASE2、进程说明部分不允许定义(D )。
A. 数据类型B. 属性C. 子程序D. 信号3、在一个使用了敏感表的进程中不能含有以下哪个语句( A )。
A. WAITB.LOOPC. CASED. NEXT4、表示端口映射的关键字是( B )。
A.GENERIC MAPB. PORT MAPC. GENERATED. COMPONENT5、生成语句的组成部分中,在某些情况下不是必须的是(C )。
A. 生成方式B. 说明部分C. 标号D. 并行语句编程题1、分别使用Process语句、when-else语句和case-when语句完成3-8译码器的设计。
一、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DECODER ISPORT(A,B,C:IN STD_LOGIC;Y:OUT BIT_VECTOR(7 DOWNTO 0));END ENTITY DECODER;ARCHITECTURE ART1 OF DECODER ISSIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSR<=C&B&A;Y<=”00000001” SLL(CONV_INTEGER(SR));END ARCHITECTURE ART1;--Process语句ARCHITECTURE ART2 OF DECODER ISSIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSR<=C&B&A;PROCESS(SR) ISBEGINY<=(OTHERS=>’1’);Y(CONV_INTEGER(SR))<=’1’;END PROCESS;END ARCHITECTURE ART2;--when-else语句ARCHITECTURE ART3 OF DECODER ISSIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSR<= C&B&A;Y(0)<=’1’ WHEN SR=”000” ELSE ‘0’;Y(1)<=’1’ WHEN SR=”001” ELSE ‘0’;Y(2)<=’1’ WHEN SR=”010” ELSE ‘0’;Y(3)<=’1’ WHEN SR=”011” ELSE ‘0’;Y(4)<=’1’ WHEN SR=”100” ELSE ‘0’;Y(5)<=’1’ WHEN SR=”101” ELSE ‘0’;Y(6)<=’1’ WHEN SR=”110” ELSE ‘0’;Y(7)<=’1’ WHEN SR=”111” ELSE ‘0’;END ARCHITECTURE ART3;--case-when语句ARCHITECTURE ART4 OF DECODER ISSIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSR<= C&B&A;PROCESS(SR) ISBEGINCASE SR ISWHEN “000”=>Y<=”00000001”;WHEN “001”=>Y<=”00000010”;WHEN “010”=>Y<=”00000100”;WHEN “011”=>Y<=”00001000”;WHEN “100”=>Y<=”00010000”;WHEN “101”=>Y<=”00100000”;WHEN “110”=>Y<=”01000000”;WHEN “111”=>Y<=”10000000”;WHEN OTHERS=>Y<=”00000000”;END CASE;END PROCESS;END ARCHITECTURE ART4;2、设计一个16位减法器,要求由4个并行进位的4位减法器串接而成。