eda课程设计-彩灯控制器

合集下载

EDA课程设计彩灯控制器

EDA课程设计彩灯控制器

1设计目的熟练掌握EDA技术利用计算机方面的课程解决专业课程方面点具体问题.达到解决问题.完成课程设计任务.培养实践的目的。

2设计要求和任务利用所学的EDA设计方法设计彩灯控制器.熟练使用使用QUARTUSII 应用软件.进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。

功能要求:1.要有多种花型变化(至少4种).2.多种花型可以自动变换.循环往复.3.彩灯变换的快慢节拍可以选择.4.具有清零开关.3 总体设计思路及原理描述3.1功能描述在电路中以 1 代表灯亮,以 0 代表灯灭,由 0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。

在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。

下面就以一个十六路彩灯控制系统的实现为例进行简单说明。

此十六路彩灯控制系统设定有六种花样变化 ,这六种花样可以进行自动切换 ,并且每种花样可以选择不同的频率。

3.2设计原理用VHDL进行设计 ,首先应该了解 ,VHDL语言一种全方位硬件描述语言 ,包括系统行为级 ,寄存传输级和逻辑门级多个设计层次。

应充分利用DL “自顶向下”的设计优点以及层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的单元入手 ,逐渐构成庞大而复杂的系统。

首先应进行系统模块的划分 ,规定每一模块的功能以及各个模块之间的接口。

最终设计方案为:以一个十六路彩灯花样控制器、一个四频率输出分频器 ,一个四选一控制器和一个时间选择器总共四部分来完成设计。

四选一控制器从分频器选择不同频率的时钟信号输送到彩灯花样控制器 ,从而达到控制彩灯闪烁速度的快慢 ,时间选择器控制每种速度维持的时间长短。

整个十六路彩灯控制系统设计的模块图如图 1所示图一4分层次方案设计及代码描述4.1子模块及其功能本次设计分为四个子模块 ,即十六路彩灯花样控制器、四频率输出分频器 ,四选一控制器和时间选择器 ,其子模块及其功能如下:4.1.1四频率输出分频器在本次设计中 ,设计了六种花样 ,要求这六种花样以不同的频率显示 ,而只有一个输入的时钟信号 ,所以对所输入的时钟信号进行 2 分频 ,4 分频 ,8分频 ,16分频 ,得到四种频率信号 ,CLKDIV模块用来完成此功能。

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。

2.增强自己实际动手能力,独立解决问题的能力。

3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。

设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。

三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。

(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。

(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。

四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。

二选一模块:选择两种频率中的一个控制彩灯的花型。

8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

EDA课程设计--多路彩灯控制设计

EDA课程设计--多路彩灯控制设计

EDA课程设计--多路彩灯控制设计
项目简介:
本项目基于EDA工具(例如Altium Designer),设计实现了一种多路彩灯控制器。

该控制器可以控制多个LED灯的颜色和亮度,并可以通过外部输入信号进行控制。

项目要求:
- 实现8路彩灯控制,并且可以通过外部控制进行选择控制的灯数量。

- 支持控制彩灯的颜色和亮度。

- 支持外部输入信号,例如红外、蓝牙等。

- 设计具有过压、过流保护电路。

项目实现:
1. 硬件设计
- 选用STM32F030C8T6为控制器,实现外部输入信号检测、灯控制等功能
- 使用MAX7219为LED驱动芯片,支持SPI通信
- 具有功率PWM控制电路,用于调节彩灯的亮度
- 设有保护电路(包括过压、过流保护等)。

2. PCB设计
- 完成原理图设计,并将原理图转化为PCB设计
- 完成DSP设计、电源电路设计、外部输入检测电路设计、LED灯的连接及布局设计
- 设计阻止过压、过流电路,并进行分析和仿真,确保电路设计的可靠性和稳定性。

3. 程序设计
- 根据硬件设计,编写STM32程序,实现控制LED灯的亮度和颜色、接收和处理外部输入信号等功能
- 设计简单友好的用户界面,使得用户可以方便地选择和改变亮度和颜色控制方式。

4. 调试测试
- 在完成硬件设计、PCB设计、程序设计后,进行完整的测试来验证控制器的功能。

- 对控制器进行验证测试,确保它能稳定地运行,并且能够处理外部输入信号、选择和控制指定的彩灯。

EDA课程设计 彩灯控制器.

EDA课程设计 彩灯控制器.

电子设计自动化大作业题目彩灯控制器的设计学院**学院班级电气**学号**********姓名********二O一二年十月三十一日彩灯控制器的设计一、彩灯控制器的设计要求设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。

控制器应有两种控制方式:(1)规则变化。

变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。

(2)随机变化。

无规律任意变化。

二、彩灯控制器的设计原理本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。

流程图如下所示:图 1 彩灯控制器的设计流程图彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。

分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。

当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。

扬声器通过不同的频率控制发出不同的声音。

同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。

通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。

AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。

与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。

本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。

三、程序设计和分析library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;建立设计库和标准程序包实体部分: entity pan isport(clk:in std_logic;clr:in std_logic;speak:out std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(7 downto 0);led_selout:out std_logic_vector(7 downto 0);end entity;实体名为 pan,定义端口,输入端口为 clk 和 clr ,其中 clk 接脉冲信号,clr 接复位端;输出端口 speak 接扬声器,led7s1 接数码管的七段显示部分,led7s 接八个发光二极管,led_selout 接八个数码管的使能端,控制数码管的循环显示。

彩灯控制器课程设计eda

彩灯控制器课程设计eda

彩灯控制器课程设计eda一、课程目标知识目标:1. 让学生理解彩灯控制器的基本原理和EDA(电子设计自动化)软件的使用;2. 掌握彩灯控制器的电路设计、PCB布线及元件布局;3. 了解彩灯控制器的程序编写及调试方法。

技能目标:1. 培养学生运用EDA软件进行电路设计和PCB布线的能力;2. 培养学生编写彩灯控制程序,进行调试和优化的技能;3. 提高学生团队协作和解决问题的能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣和热情,激发创新意识;2. 培养学生严谨、细心的学习态度,养成良好的操作习惯;3. 增强学生的环保意识,关注电子产品对环境的影响。

课程性质:本课程属于电子技术实践课程,结合理论教学,注重培养学生的动手能力和实际操作技能。

学生特点:学生具备一定的电子技术基础知识,对实践操作有较高的兴趣,喜欢探索和挑战。

教学要求:教师需结合学生特点,采用循序渐进的教学方法,注重理论与实践相结合,提高学生的综合能力。

在教学过程中,关注学生的学习进度和需求,及时调整教学策略。

1. 彩灯控制器原理讲解:包括彩灯控制器的功能、工作原理及主要元件介绍,对应教材第3章;2. EDA软件操作:学习EDA软件的基本操作,如原理图绘制、PCB布线等,对应教材第4章;3. 彩灯控制器电路设计:根据原理图绘制PCB图,并进行元件布局,对应教材第5章;4. 彩灯控制程序编写:学习编写控制程序,实现彩灯的亮灭、闪烁等功能,对应教材第6章;5. 程序调试与优化:对编写好的程序进行调试,找出问题并进行优化,对应教材第7章;6. 实践操作:分组进行彩灯控制器的设计与制作,巩固所学知识,提高实际操作能力。

教学进度安排:第1周:彩灯控制器原理讲解;第2周:EDA软件操作学习;第3-4周:彩灯控制器电路设计;第5周:彩灯控制程序编写;第6周:程序调试与优化;第7周:实践操作。

教学内容确保科学性和系统性,结合教材章节进行有序安排,使学生能够逐步掌握彩灯控制器的设计与制作。

燕山大学 eda课设 彩灯控制(一)

燕山大学 eda课设 彩灯控制(一)

燕山大学EDA课程设计报告书题目:彩灯控制器(一)姓名:班级:学号:成绩:设计题目:彩灯控制器(一)一、设计要求:1.有十只LED,L0……L92.显示方式1) 先奇数灯依次灭2) 再偶数灯依次灭3)再由LO到L9依次灭3. 显示间隔0.5S,1S可调。

二、设计思路:1.用两片4-16译码器74154分别控制奇数,偶数灯依次灭和L0~L9依次灭。

2.用16进制计数器74161连成11进制计数器,输出作为74154的输入和片选控制。

3.用三片10进制计数器74160连成183进制计数器,得到分频电路。

并用一个T触发器修改占空比为1:2。

4.用一个JK触发器和一个控制端实现显示时间间隔可调。

三、设计过程:1.模块一 fenpin电路图如下:输入732HZ的CLK信号,用三个74160连成183进制计数器再用一个T触发器调节占空比为1:2,得到2HZ的Y信号。

仿真图:2.模块二 xuanpin电路图如下:用一个JK触发器实现二分频,控制端M为低电平时,输出2HZ的脉冲;控制端M为高电平时,输出1HZ的脉冲。

仿真图:3.模块三 jishu电路图如下:将16进制计数器连成11进制计数器,输出QA、QB、QC、QD作为模块kongzhi的输入,进位输出C作为模块kongzhi的片选端输入。

仿真图:4.模块四: kongzhi电路图如下:灯L0,L1,L2,L3,L4,L5,L6,L7,L8,L9依次与10个与门连接。

CONTROL为0时第一片被选中,输入状态为0000~1010,输出Y0~Y10依次为0,Y2~Y10依次接与门3,5,7,9,0,2,4,6,8,YO取非和Y1用一个或门连接与门1,实现开始的全亮状态;CONTROL为1时第二片被选中,输入循环0000~1010,输出Y0~Y10依次连接与门0,1,2,3,4,5,6,7,8,9。

此电路在控制端的作用下实现了奇数灯依次灭,偶数灯依次灭,然后L0~L9依次灭。

EDA彩灯控制器课程设计报告书

EDA彩灯控制器课程设计报告书

下载可编辑目录第一章绪论 (1)1.1 系统背景 (2)1.2 课程设计的主要内容和任务以及要达到的目标 (2)第二章系统电路设计 (3)2.1 系统总体设计框架结构 (3)2.1系统硬件 (3)第三章系统软件设计 (4)3.1 方案原理 (4)3.2 模块设计 (4)3.3 总体模块设计 (7)第四章实验结果和分析. (7)4.1实验仿真结果 (7)结束语 (9)附录 (10).专业 .整理 .下载可编辑第一章绪论1.1 系统背景20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD FPGA的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言 VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用 EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出 IC 版图或 PCB版图的整个过程的计算机上自动处理完成。

现在对 EDA的概念或范畴用得很宽。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

目前 EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到 EDA技术。

彩灯控制器eda课程设计

彩灯控制器eda课程设计

彩灯控制器eda课程设计1000字此篇文章的主题为“彩灯控制器EDA课程设计”,下面将会从以下几个方面展开:1. 课程设计背景和目的2. 设计原理和方法3. 系统需求和规格4. 系统模块设计5. 系统实现和调试6. 课程设计总结和展望一、课程设计背景和目的彩灯控制器是一种用来控制色彩变换、亮度调节等的电子设备。

这种设备在日常生活中应用非常广泛,例如照明、广告牌等方面。

本次课程设计的目的是通过设计彩灯控制器,让学生深入了解EDA工具之间的协同效应并学习如何利用EDA工具实现完整的电子产品设计流程。

二、设计原理和方法彩灯控制器设计的原理比较简单,基本原理为利用单片机作为控制中心来控制不同灯泡的闪烁和色彩变换。

由于本次课程设计主要采用EDA工具进行设计开发,因此我们将采用如下方法实现:1. 采用verilog HLD (硬件描述语言)对彩灯控制器进行建模;2. 通过EDA软件(例如Vivado、ISE、Quartus等)进行模拟、综合和实现;3. 最后通过FPGA板搭建完整的系统并进行测试。

三、系统需求和规格1. 总体需求彩灯控制器主要功能为控制颜色变换、亮度等,并支持手持控制和远程控制两种方式。

系统需要满足以下要求:a) 彩灯控制器能够控制不同灯泡的颜色变换、亮度等;b) 支持手持遥控器和网络控制;c) 能够实现灯光的渐变和闪烁,且过渡效果自然;d) 有良好的灵活性和可扩展性。

2. 系统规格在确定系统规格时,除了要满足客户需求,还应考虑实际电子产品的性能要求,下面是我们对彩灯控制器的一些基本规格:a) 支持8组不同灯泡的控制;b) 支持颜色变换、亮度调节,且支持渐变和闪烁模式;c) 支持手持遥控器和网络控制,且手持遥控器能够进行10米内的控制;d) 有RBG三种控制灯泡的颜色变换,且变化频率在1-10Hz之间;e) 支持亮度调节,且亮度范围在0-100可调;f) 具有良好的电磁兼容性和稳定性。

四、系统模块设计根据我们的设计原理和系统需求和规格,我们可以将整个彩灯控制器的系统分为如下几个模块:1. 控制模块:主要是由单片机实现,能够接收遥控器和网络信号,并控制其他模块的工作;2. 灯光控制模块:包括RGB灯泡控制器、PWM调节器、芯片等,实现对灯泡的控制和亮度调节等功能;3. 消息传输模块:包括RS232、Wi-Fi、蓝牙等,实现遥控器和网络控制的功能。

EDA课程设计一个彩灯控制器

EDA课程设计一个彩灯控制器

测试结果分析与改进
测试结果:系统集成与功能测试的结果 问题分析:分析测试结果中存在的问题和原因 改进方案:提出针对问题的改进方案 验证与优化:验证改进方案的有效性,并进行优化
06 总结与展望
课程设计总结
课程设计目标:掌握EDA技术,设计彩灯控制器 课程设计内容:包括电路设计、程序编写、仿真测试等 课程设计成果:成功设计并实现彩灯控制器 课程设计收获:提高了EDA技术应用能力,增强了团队合作精神
软件集成:将各个软件模块集成在一 起,形成完整的系统
功能测试:对系统的各个功能进行测 试,确保其正常工作
性能测试:对系统的性能进行测试, 确保其满足设计要求
稳定性测试:对系统的稳定性进行测 试,确保其长时间稳定工作
兼容性测试:对系统的兼容性进行测 试,确保其与其他设备或系统兼容
功能测试方案与实施
测试目标:验证系统功能是否符合设计要求 测试方法:黑盒测试、白盒测试、灰盒测试 测试内容:输入输出、数据处理、界面显示、系统稳定性等 测试工具:自动化测试工具、性能测试工具、安全测试工具等 测试结果分析:对测试结果进行分析,找出问题并提出改进措施 测试报告:编写测试报告,记录测试过程、结果和改进措施
布线原则:遵循信号流向,避免交叉干扰,保证信号完整性
布线技巧:使用自动布线工具,提高布线效率和质量
布线注意事项:注意电源线和地线的布局,保证电源和地线之间的隔离距离,避免电 磁干扰。
电源与接口电路设计
电源电路:提供 稳定的电源电压, 保证系统正常工 作
接口电路:连接 外部设备,实现 数据传输和控制
彩灯控制器应用前景与展望
彩灯控制器在节日装饰中的应用 彩灯控制器在商业广告中的应用 彩灯控制器在公共设施中的应用 彩灯控制器在智能家居中的应用

EDA课程设计彩灯控制器 -

EDA课程设计彩灯控制器 -

EDA课程设计总结报告题目彩灯控制器学院 XXXXXXXXXXXXX学院专业 XXXXXXXXXX年级 XXXX级学号 XXXXXXXXXXXXXXXXXXXX姓名 XXX XXX指导教师 XXX成绩XXXX年 X月 XX日目录摘要 (2)Abstract (4)第一章绪论 (5)1.1 课题背景 (5)1.2 项目的实际研究意义 (7)第二章彩灯控制的要求及程序设计 (8)2.1 课程设计要求 (8)2.2设计思路 (8)2.3彩灯控制的软件程序设计 (8)第三章硬件焊接及彩灯调试 (12)3.1 焊接成品 (12)3.2 彩灯调试效果查看 (12)第四章波形仿真调试 (13)第五章感谢信 (14)参考文献 (15)摘要本课程设计提出基于FPGA的彩灯控制器的设计与分析。

本设计运用运用Verilog HDL语言在QuartusⅡ软件上进行了实验程序的编译和波形的仿真,具有代码简单易懂,功能易实现的特点,通过不断地调试和代码的多次完善,最终实现完整的多种花样彩灯控制器,达到了自主设计逻辑电路的水平。

关键词:彩灯控制;Verilog HDL语言;QuartusⅡ软件AbstractThe design and analysis of color lamp controller based on FPGA are presented in this course. This design using using Verilog HDL language in Quartus Ⅱsoftware has carried on the experimental program compilation and waveform simulation, has the code simple, function characteristics of easy implementation, through debugging and code many times the consummation unceasingly, finally realizes the complete variety lights controller, reached the independent design of logic circuit level.Keywords: The lantern controller;Verilog HDL language; Quartus Ⅱsoftware第一章绪论1.1课题背景1. FPGAFPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。

EDA循环彩灯控制课程设计

EDA循环彩灯控制课程设计

EDA循环彩灯控制课程设计一、课程目标知识目标:1. 学生能理解EDA技术的基本概念,掌握循环彩灯控制原理;2. 学生能掌握数字逻辑设计的基本方法,运用硬件描述语言进行简单程序设计;3. 学生了解循环彩灯在现实生活中的应用,理解其工作原理和设计方法。

技能目标:1. 学生能运用所学知识,独立完成循环彩灯控制电路的设计与仿真;2. 学生能通过实验操作,熟练使用相关仪器和设备,进行硬件电路搭建;3. 学生能够进行团队协作,共同解决在设计与实现过程中遇到的问题。

情感态度价值观目标:1. 学生对EDA技术产生兴趣,提高对电子工程领域的认识和热情;2. 学生培养良好的实验习惯,注重安全、环保,遵循实验操作规范;3. 学生在团队合作中,学会尊重他人,培养沟通能力和团队精神。

课程性质:本课程为电子设计自动化(EDA)相关课程,结合循环彩灯控制实例,使学生掌握数字逻辑设计的基本方法和技能。

学生特点:学生具备一定的电子基础知识,对硬件描述语言和数字电路有一定了解,但实际操作能力有待提高。

教学要求:结合理论教学与实验操作,注重培养学生的实际动手能力,提高学生的创新意识和团队合作能力。

通过本课程的学习,使学生能够将所学知识应用于实际项目中,达到学以致用的目的。

二、教学内容1. 理论知识:- EDA技术概述:介绍EDA技术的基本概念、发展历程和应用领域;- 数字逻辑设计基础:回顾逻辑门、组合逻辑和时序逻辑基础知识;- 硬件描述语言:讲解Verilog HDL或VHDL的基本语法和使用方法;- 循环彩灯控制原理:分析循环彩灯的控制方法、电路设计和程序实现。

2. 实践操作:- 循环彩灯控制电路设计与仿真:指导学生使用EDA软件(如Multisim、Proteus等)进行电路设计与仿真;- 硬件电路搭建与调试:教授学生如何搭建循环彩灯控制电路,并进行调试;- 程序编写与下载:教授学生如何编写循环彩灯控制程序,并将程序下载至FPGA或CPLD器件。

eda课程设计彩灯控制

eda课程设计彩灯控制

eda课程设计彩灯控制一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握彩灯控制电路的原理。

2. 学生能够运用所学的电子元件和软件工具,设计并实现一个简单的彩灯控制系统。

3. 学生能够解释彩灯控制过程中的电路图,并掌握相关的电子电路知识。

技能目标:1. 学生能够运用EDA软件进行电路设计和仿真,具备实际操作能力。

2. 学生能够独立完成彩灯控制系统的搭建和调试,培养动手实践和问题解决能力。

3. 学生能够通过团队协作,共同完成项目任务,提高沟通与协作能力。

情感态度价值观目标:1. 学生培养对电子工程的兴趣,激发创新意识和探索精神。

2. 学生认识到科技发展对生活的影响,增强社会责任感和环保意识。

3. 学生通过课程学习,培养严谨、细致的学习态度,提高自我管理和自主学习能力。

课程性质分析:本课程为电子技术实践课程,旨在让学生通过实际操作,掌握EDA技术及其在彩灯控制方面的应用。

学生特点分析:本课程针对初中或高中年级学生,他们对电子技术有一定的基础知识,具备基本的操作能力和团队协作能力。

教学要求:1. 教师应注重理论与实践相结合,引导学生通过实际操作掌握知识。

2. 教师需关注学生的个体差异,提供针对性的指导,确保每个学生都能完成课程目标。

3. 教师要注重培养学生的团队协作能力和创新能力,提高学生的综合素质。

二、教学内容1. 电子元件知识:介绍常用电子元件(如电阻、电容、二极管、三极管等)的原理和用途,关联教材中相关章节。

2. EDA软件应用:学习并掌握EDA软件(如Multisim、Proteus等)的基本操作,进行电路设计和仿真,关联教材中EDA软件应用章节。

3. 彩灯控制原理:分析彩灯控制电路的工作原理,包括电路图解析、元件功能等,关联教材中彩灯控制相关章节。

4. 电路设计与搭建:学习设计简单的彩灯控制电路,并进行实际搭建和调试,关联教材中电路设计及实践操作章节。

5. 项目实践:分组进行彩灯控制系统项目实践,包括设计、搭建、调试和优化,结合教材中项目实践案例进行分析。

电子课程设计彩灯控制器

电子课程设计彩灯控制器

电子课程设计彩灯控制器一、教学目标本节课的教学目标是让学生了解和掌握电子课程设计彩灯控制器的基本原理和制作方法。

知识目标要求学生掌握彩灯控制器的工作原理、电路图的识读和电子元件的选用;技能目标要求学生能够独立完成彩灯控制器的制作和调试,并具备一定的创新和改进能力;情感态度价值观目标要求学生培养对电子科技的兴趣和热爱,增强实践能力和团队合作精神。

二、教学内容本节课的教学内容主要包括彩灯控制器的工作原理、电路图的识读、电子元件的选用和制作方法。

首先,介绍彩灯控制器的工作原理,让学生了解彩灯控制器的基本功能和作用。

其次,通过电路图的识读,让学生学会分析电路图的组成和连接方式。

然后,介绍电子元件的选用方法,让学生了解如何根据实际需求选择合适的电子元件。

最后,教授制作方法,让学生动手实践,独立完成彩灯控制器的制作和调试。

三、教学方法为了实现本节课的教学目标,采用多种教学方法相结合的方式。

首先,采用讲授法,向学生讲解彩灯控制器的工作原理、电路图的识读和电子元件的选用方法。

其次,采用讨论法,让学生在课堂上互相交流和讨论制作过程中的问题和经验。

同时,采用案例分析法,分析一些成功的电子设计案例,激发学生的创新思维。

最后,采用实验法,让学生动手实践,培养其实际操作能力和团队合作精神。

四、教学资源为了支持本节课的教学内容和教学方法的实施,准备了一系列的教学资源。

教材方面,选用《电子技术基础》作为主教材,辅助以《电子制作实战》等参考书籍。

多媒体资料方面,准备了一些电子元件的图片、电路图和制作过程的视频教程。

实验设备方面,准备了一批彩灯控制器制作所需的电子元件和实验工具,确保每个学生都能动手实践。

同时,还准备了一些成功的电子设计案例,供学生参考和借鉴。

五、教学评估本节课的教学评估将采用多元化的评估方式,以全面、客观、公正地评价学生的学习成果。

评估方式包括平时表现、作业和考试等。

平时表现主要考察学生的课堂参与度、提问回答和团队协作等情况,占总评的30%。

EDA技术实验10-彩灯控制器设计与实现

EDA技术实验10-彩灯控制器设计与实现

ENTITY counter_4 IS
PORT
(
clk,rst
: IN
std_logic;
count_out
: OUT integer range 0 to 3 );
std_logic
END xzq4_1; ARCHITECTURE a OF xzq4_1 IS
BEGIN PROCESS (rst,inp) BEGIN
if(rst='1') then output<='0';
else
case inp is
when 0=>output<=in1;
when 1=>output<=in2;
count_out<=temp;
END PROCESS;
17
END a;
-------------------------------------------4进制计数器模块----------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
if clk'event and clk='1'then
if b>=5 then
b:=0;
clk_6<='1';
else
b:=b+1;
clk_6<='0';
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;

EDA技术及其应用彩灯控制器设计方案

EDA技术及其应用彩灯控制器设计方案

EDA技术课程设计题目:EDA技术及其应用——彩灯控制器设计姓名:Jxairy院系:电子信息工程学系专业:通信工程班级:091学号:910705131指导教师:***2012年01月师:日期:EDA技术及其应用——彩灯控制器设计Jxairy(电子信息工程学系指导教师:***)0引言随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(ElectronicDesign Automatic)技术的应用引起电子产品及系统开发的革命性变革。

VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。

在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。

整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。

本文介绍应用美国ALTERA公司的MAX+PLUSⅡ平台,使用VHDL硬件描述语言实现的彩灯控制器设计。

1设计目的随着科学技术的发展以及人民生活水平的提高,在现代生活中,彩灯作为一种装饰,既可以给人们带来视觉上的冲击,制造耳目一新的广告宣传,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果。

本设计就是应用EDA技术,使用VHDL硬件描述语言设计的一种简易的彩灯控制器。

2设计要求1)有6种花型变化。

2)多种花型可以自动变化,循环往复。

3)彩灯变化的快慢节拍可以选择。

4)具有清零开关。

3系统设计方案根据系统设计要求,设计一个具有6种花型循环变化的彩灯控制器。

整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[15..0],分别用于控制16路彩灯。

由方案综合分析,系统设计采用自顶向下的设计方法。

多路彩灯设计--EDA课程设计

多路彩灯设计--EDA课程设计

EDA课程设计多路彩灯控制设计前言本次课程设计的主要目的是通过电子设计自动化的设计,掌握FPGA应用系统的开发过程,进一步理解FPGA应用系统的工作原理。

本课程设计设计了一个多路彩灯控制器,十六种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即是可以选择快慢两种节拍。

整个系统有三个输入信号,分别为控制快慢的信号OPT,复位清零信号CLR,输出信号是16路彩灯输出状态。

最后按照FPGA的开发流程和VHDL语言建模、仿真、综合、下载、适配,用EDA6000实验箱上的FPGA系统实现了相应的功能。

通过这次课程设计更清楚的理解了VHDL程序的描述语言,能进行简单程序的编写和仿真。

一.系统设计要求设计一个多路彩灯控制器,十六种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即是可以选择快慢两种节拍。

二.设计方案整个系统有三个输入信号,分别为控制快慢的信号OPT,复位清零信号CLR,输出信号是16路彩灯输出状态。

系统框图如:主要模块组成:时序控制电路模块和显示电路模块,时序控制电路是根据输入信号的设置得到相应的输出信号,并将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期,有规律的输出设定的六种彩灯变化类型。

三.模块设计时序控制模块:CLK_IN为输入时钟信号,电路在时钟上升沿变化;CLR为复位清零信号,高电平有效,一旦有效时,电路无条件的回到初始状态;chose_key为频率快慢选择信号,低电平节奏快,高电平节奏慢;CLK为输出信号,CLR有效时输出为零,否则,随chose_key 信号的变化而改变。

我们假设时序控制电路所产生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟控制信号可以通过对输入时钟的计数来获得。

当chose_key为低电平时,输出没经过两个时钟周期进行翻转,实现四分频的快节奏;当chose_key为高电平时,输出每经过四个时钟周期进行翻转,实现把八分频的慢节奏。

eda八路彩灯控制器课程设计

eda八路彩灯控制器课程设计

课程名称:EDA八路彩灯控制器设计课程目的:1. 了解EDA软件的基本操作和应用;2. 掌握数字电路设计的基本理论和方法;3. 熟悉FPGA设计流程;4. 学习彩灯控制器的设计原理和实现方法;5. 培养学生的团队合作能力和实际动手能力。

课程大纲:1. EDA软件的基本操作和应用1.1 EDA概念及发展历史1.2 常见的EDA软件及其特点1.3 EDA软件的安装和基本操作2. 数字电路设计基础2.1 逻辑门及其运算2.2 组合逻辑电路设计2.3 时序逻辑电路设计2.4 FPGA概念及应用3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理3.2 PWM调光原理及实现3.3 彩灯控制器的电路设计与原理图绘制3.4 彩灯控制器的FPGA设计与仿真4. 课程实践4.1 彩灯控制器实验板的制作4.2 EDA软件仿真实验4.3 彩灯控制器的硬件调试与验证4.4 彩灯控制器的功能实现与效果展示课程评价:本课程通过结合理论学习和实践操作相结合的教学方式,让学生全面掌握EDA软件的使用方法,深入理解数字电路的设计原理,以及彩灯控制器的具体实现方法。

通过实践环节,培养学生的动手能力和团队合作精神,使学生在课程中获得知识的能够运用所学知识解决实际问题。

通过该课程的学习,学生将掌握FPGA设计流程,了解数字电路设计的基础知识,并具备彩灯控制器设计和制作的能力。

结语:EDA八路彩灯控制器设计课程旨在培养学生的实际操作技能,通过设计和制作彩灯控制器,让学生在实践中巩固所学的EDA软件操作和数字电路设计知识,同时培养学生的团队合作和解决问题的能力。

希望学生能够在课程中认真学习,勇于实践,在实验中不断探索和创新,不断提高自己的实际动手能力和工程实践能力。

在接下来的1500字内容中,我们将进一步细化课程设计的细节,包括每个主题下的具体教学内容、示例和案例分析等部分。

3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理LED(Light Emitting Diode)是一种半导体器件,是一种能将电能转化为光能的二极管。

EDA课程设计—彩灯控制器

EDA课程设计—彩灯控制器

燕山大学EDA课程设计报告书题目:彩灯控制器姓名:班级:成绩:一.设计要求具备两种彩灯花样显示,通过功能切换按钮选择花样。

花样一要求:1.有十只LED,L0……L92.显示方式(初态为全亮):①先奇数灯依次灭(灭的灯当下一个灯动作时,本灯回复原状态)②再偶数灯依次灭(同上)③再由L0到L9依次灭(同上)3.显示间隔0.5S,1S可调。

花样二要求:1.有八只LED,L0……L72.显示顺序如下表3.显示间隔为0.5S,1S可调。

二、设计过程及内容总体设计思路:彩灯控制器的总电路图主要由三大模块组成,分别是分频和选频模块、花样一模块和花样二模块。

1、分频和选频模块(1)、分频模块利用三个74160做成183进制的计数器,将732HZ的频率分成4HZ的频率,再在4HZ的基础上,利用T触发器,调节信号的占空比,得到2Hz 的Y信号。

电路图如下:仿真图:(2)、选频模块利用JK触发器上升沿触发将Y信号改变成1Hz的信号,再用M信号控制1Hz和2Hz信号的选择,即题目要求中实现时间间隔0.5s和1s可调。

控制端M为低电平时,输出2HZ的脉冲;控制端M为高电平时,输出1HZ 的脉冲。

电路图如下:仿真图:M为低电平时:M为高电平时:分频和选频模块合并后的电路图为:2、花样一模块花样一中有两大模块:计数模块和控制模块。

(1)、计数模块用一片76161制成11进制计数器,其中进位信号经过JK触发器得到占空比为1:2的C信号,作为控制模块的片选端输入,输出的QA、QB、QC、QD作为控制模块的输入。

电路图为:仿真图:(2)、控制模块用计数模块输出的C信号控制两片74154译码器轮流工作。

当C为低电平时,第一片译码器工作,实现十个彩灯的初态全亮、奇数灯依次灭和偶数灯依次灭;当C为高电平时,第二片译码器工作,实现十个彩灯的依次灭。

两片译码器的输出端用逻辑门与彩灯相连。

电路图如下:花样一模块的电路图为:仿真图为:3、花样二模块(1)、花样二中用两片74161制成一个33进制计数器,用来实现题目中33种状态的循环。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

2.设计题目描述和要求1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;2)随着彩灯显示图案的变化,发出不同的音响声。

3)扩充其它功能。

3.设计原理3.1 方案论证这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。

其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。

基于上述的介绍本次的彩灯控制采用的模式6来进行显示。

图3-1-1 模式6结构图3.2 模块设计1)集成分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。

模块说明:Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。

Clk:输入信号模块的功能即为分频输入的频率信号。

Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

图3-2-1 集成分频器2)32进制计数器模块32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。

Rst:输入信号复位信号用来复位32进制使其输出为“00000”。

Clk:输入信号用来给模块提供工作频率。

Count_out[4..0]:输出信号即为32进制计数器的输出。

图3-2-2 32进制计数器3)彩灯控制模块彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。

Rst:输入信号使彩灯控制模块的输出为“00000000”,即让彩灯无输出。

Input[4..0]:输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。

Output[7..0]:输出信号直接与彩灯相连来控制彩灯。

图3-2-3 彩灯控制模块4) 4选1选择器模块Rst:输入信号复位信号使选择器的输出为“0”。

In1、in2、in3、in4:输入信号接分频器的输出。

Inp[1..0]:输入信号接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。

Output:输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放音乐。

图3-2-4 4选1选择器5)4进制计数器模块4进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作。

Clk:输入信号来为计数器提供工作频率。

Rst:输入信号复位信号使计数器的输出为“00”。

图3-2-5 4进制计数器3.3 系统结构整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制32进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。

图 3-3-1 系统功能模块4.总结这次的EDA课程设计有一周的时间,在这一周的时间里我们充分合理的安排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计中并不是一帆风顺的,我们遇到了一些的问题,例如我们开始时用的文本的方式用一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越到很容易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自己都不知道程序的整体框图是什么,导致后面不能够继续下去,后面我们再一次对我们这次的设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方式来完成本次的课题设计,当然最重要的是分析各个模块间的关系。

最后我们采用上面分析的结构框图。

最后我们的设计很成功,仿真和硬件测试都是正确的,实现了我们的设计要求和目的。

在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识,把它运用到了实践当中,并且学到了很多在书本撒和那个所没有学到的知识,通过查阅相关资料进一步加深了对EDA的了。

总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。

在我们的共同努力和指导老师的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目的。

6附录一程序:----------------------------------------------分频器模块----------------------------------------- LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fenpinqi ISPORT(clk,rst : IN std_logic;clk_10,clk_4,clk_6,clk_8 : OUT std_logic);END fenpinqi;ARCHITECTURE cd OF fenpinqi ISbeginp1:process(clk,rst)variable a:integer range 0 to 20;beginif rst='1' thenclk_4<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif a>=3 thena:=0;clk_4<='1';elsea:=a+1;clk_4<='0';end if;end if;end if;end process p1;p2:process(clk,rst)variable b:integer range 0 to 20;beginif rst='1' thenclk_6<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif b>=5 thenb:=0;clk_6<='1';elseb:=b+1;clk_6<='0';end if;end if;end if;end process p2;p3:process(clk,rst)variable c:integer range 0 to 20;beginif rst='1' thenclk_8<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif c>=7 thenc:=0;clk_8<='1';elsec:=c+1;clk_8<='0';end if;end if;end if;end process p3;p4:process(clk,rst)variable d:integer range 0 to 20;beginif rst='1' thenclk_10<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif d>=9 thend:=0;clk_10<='1';elsed:=d+1;clk_10<='0';end if;end if;end if;end process p4;end cd;----------------------------------------------4选1选择器--------------------------------------- LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY xzq4_1 ISPORT(rst:in std_logic;inp:in integer range 0 to 3;in1,in2,in3,in4 : In std_logic;output : OUT std_logic);END xzq4_1;ARCHITECTURE a OF xzq4_1 ISBEGINPROCESS (rst,inp)BEGINif(rst='1') then output<='0';elsecase inp iswhen 0=>output<=in1;when 1=>output<=in2;when 2=>output<=in3;when 3=>output<=in4;when others=>null;end case;end if;END PROCESS;END a;-------------------------------------------彩灯控制模块---------------------------------------- LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY caideng ISPORT(input : IN INTEGER RANGE 0 TO 31;rst:in std_logic;output : OUT std_logic_vector(7 downto 0);sm :out std_logic_vector(6 downto 0));END caideng;ARCHITECTURE a OF caideng ISBEGINPROCESS (input)BEGINif rst='1' then output<="00000000";sm<="0000000";elsecase input iswhen 0=>output<="10000000";sm<="0000110";when 1=>output<="01000000";sm<="0000110";when 2=>output<="00100000";sm<="0000110";when 3=>output<="00010000";sm<="0000110";when 4=>output<="00001000";sm<="0000110";when 5=>output<="00000100";sm<="0000110";when 6=>output<="00000010";sm<="0000110";when 7=>output<="00000001";sm<="0000110";when 8=>output<="00010000";sm<="0011011";when 9=>output<="00110000";sm<="0011011";when 10=>output<="00111000";sm<="0011011";when 11=>output<="01111000";sm<="0011011";when 12=>output<="01111100";sm<="0011011";when 13=>output<="01111110";sm<="0011011";when 14=>output<="11111110";sm<="0011011";when 15=>output<="11111111";sm<="0011011";when 16=>output<="10000001";sm<="1001111";when 17=>output<="11000001";sm<="1001111";when 18=>output<="11000011";sm<="1001111";when 19=>output<="11100011";sm<="0011011";when 20=>output<="11100111";sm<="1001111";when 21=>output<="11110111";sm<="1001111";when 22=>output<="11111111";sm<="1001111";when 23=>output<="00001000";sm<="1001111";when 24=>output<="00000001";sm<="0100110";when 25=>output<="00000010";sm<="0100110";when 26=>output<="00000100";sm<="0100110";when 27=>output<="00001000";sm<="0100110";when 28=>output<="00010000";sm<="0100110";when 29=>output<="00100000";sm<="0100110";when 30=>output<="01000000";sm<="0100110";when 31=>output<="10000000";sm<="0100110";when others=>null;end case;end if;end process;end a;--------------------------------------------32进制计数器模块----------------------------------- LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY counter_32 ISPORT(clk,rst : IN std_logic;count_out : OUT integer range 0 to 31 );END counter_32;ARCHITECTURE a OF counter_32 ISBEGINPROCESS (rst,clk)variable temp:integer range 0 to 32;BEGINIF rst='1' THENtemp:=0;ELSIF (clk'event and clk='1') THENtemp:=temp+1;if(temp=32) thentemp:=0;end if;END IF;count_out<=temp;END PROCESS;END a;-------------------------------------------4进制计数器模块---------------------------------- LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY counter_4 ISPORT(clk,rst : IN std_logic;count_out : OUT integer range 0 to 3 );END counter_4;ARCHITECTURE a OF counter_4 ISBEGINPROCESS (rst,clk)variable temp:integer range 0 to 32;BEGINIF rst='1' THENtemp:=0;ELSIF (clk'event and clk='1') THENtemp:=temp+1;if(temp=4) thentemp:=0;end if;END IF;count_out<=temp;END PROCESS;END a;7附录二编译7附录三时序仿真。

相关文档
最新文档