Modsim-Altera仿真笔记

合集下载

用ModelSimSE进行功能仿真和时序仿真的方法(ALTERA篇)

用ModelSimSE进行功能仿真和时序仿真的方法(ALTERA篇)

用ModelSimSE进行 功能仿真和时序仿真的方法 (ALTERA篇)黄俊April 2007用ModelSim SE进行功能仿真和时序仿真的方法 (ALTERA篇)软件准备(1) QuartusII,本文截图是QuartusII 6.1界面的。

我个人认为,如果是开发StratixII或CycloneII或MAXII,用QuartusII6.0+SP1+SP2比较稳定。

(2) ModelSim SE. ALTERA仿真库要已经装好,安装仿真库的笔记已记录于《在ModelSimSE中添加ALTERA仿真库的详细步骤》中。

我电脑上装的是ModelSim SE6.1b。

例子程序的制作先在Quartus II里生成一个例子程序,以方便介绍三种仿真的方法。

步骤如下:1、新建一个工程(Project),工程名取lpm_shift, 器件选CycloneII EP2C5Q208C, 第三方的工具暂时都不选。

2、菜单栏上ToolsÆMegaWizard Plug-In Manager, 点Next,在storage中选LPM_SHIFTREG,输出文件格式根据习惯选一种语言,在这里以Verilog的为例,在右边的output file名字中加上lpm_shift。

点Next。

3、这个例子是做一个移位寄存器,调用lpm库,和cycloneII元件库,也正好可以作为对前面建好的ALTERA库的一个验证。

点可以查到该模块的使用说明和详细介绍。

移位寄存器比较简单,就不用细看了。

如下图设置.点Next.4、加上一个异步清零端,点Next,再点Next,最后点Finish.Add/Remove Files in Project…,6、点图标,选中生成的lpm_shift,点打开再点Add都加到项目中去。

7、在这里直接把lpm_shift.v当成顶层文件,就不需要再例化它了。

8、下面写一个简单的TestBench.a)Quartus II工具栏上点图标,选Verilog HDL;b)点,设文件名为top_tb;c)如下所述写一个简单的TestBench.(例子见附件)开始仿真z ModelSim仿真有很多种流程,下面我采用个人感觉比较好一个流程进行仿真验证:基于工程(Project)的流程Step1 新建一个工程Step2 添加文件到工程中去Step3 编译设计文件Step4 启动仿真器,指定顶层设计单元Step5 查看和调试结果z对的设计有三个阶段的仿真。

Modelsim SE 进行时序仿真及altera库的添加

Modelsim SE 进行时序仿真及altera库的添加
编译时根据需要编译一组或全部编译。下面以Verilog组为例,首先编译primitive库。
4.编译库
在主菜单中选【compile】→【compile】命令,对altera_primitives.v进行编译。
VHDL文件编译有所不同,LPM库的220model.vhd和220pack.vhd可以同时编译,MegaFunction库的先编译altera_mf_components.vhd文件,后编译altera_mf.vhd文件,Primitiv库先编译altera_primitive_components.vhd文件,后编译altera_primitive.vhd文件,元件库,如cyclone II库先编译cycloneii_atoms.vhd文件,后编译cycloneii_components.vhd文件,如果是其他系列的元件库则只要把对应的cycloneii改成其他系列的名称即可,如cyclone库则文件改为cyclone_atoms.vhd、cyclone_components.vhd或cyclone_atoms.v。
网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。这几个文件可分为Verilog组:220model.v、altera_mf.v、altera_primitives.v、cycloneii_atoms.v和VHDL组:220model.vhd、220pack.vhd、altera_mf.vhd、altera_mf_components.vhd,altera_primitives.vhd,altera_primitives_components.vhd,cycloneii_atoms.vhd,cycloneii_components.vhd。

altera_modelsim6.1g仿真总结

altera_modelsim6.1g仿真总结

软件环境说明:quartusII 7.2 sp3+altera_modelsim6.1g(作者:刘国华)1,软件的安装详细请看《如何破解ModelSim-Altera 6.1g.pdf》。

(一个注意的地方就是环境变量设置完后一定要重新启动)2,软件的设置《如何解決在Quartus II无法使用ModelSim-Altera模拟的問題.pdf》。

3,用altera_modelsim6.1g做功能仿真,设置地方有3处如下:接着就是运行仿真软件,进行仿真:方法有两种:①编译完后,通过自动运行②编译完后,手动运行,一般运行Gate Level Simulation仿真图如下,由于是功能仿真,所以没有延时信息出现:4,关于do文件的使用技巧问题:执行的默认脚本在:工程所在目录\simulation\modelsim下,例如我的工程:该do文件的内容为:transcript onif {[file exists gate_work]} { #判断库是否存在,存在的话就先删除vdel -lib gate_work -all}vlib gate_work #建立一个库vmap work gate_work #映射库vlog -vlog01compat -work work +incdir+. {counter.vo} #编译文件vlog -vlog01compat -work work +incdir+E:/pratice/verilog/my_counter {E:/pratice/verilog/my_counter/testbench.v} #编译文件vsim -t 1ps -L cyclone_ver -L gate_work -L work top_tb #启动仿真add wave * #把testbench.v的顶层信号加入到波形窗口中view structureview signals #打开信号窗口run –all #执行仿真、modelsim窗口指示的就是这个脚本:如把以下的选项勾起,并指定一个do文件:这个时候上面那个counter_run_msim_gate_verilog.do文件的脚本就会发生以下变化:transcript onif {[file exists gate_work]} {vdel -lib gate_work -all}vlib gate_workvmap work gate_workvlog -vlog01compat -work work +incdir+. {counter.vo}vlog -vlog01compat -work work +incdir+E:/pratice/verilog/my_counter {E:/pratice/verilog/my_counter/testbench.v}vsim -t 1ps -L cyclone_ver -L gate_work -L work top_tb#执行自己写的do文件,这样的话,就可以很好的控制自己想看的信号do E:/pratice/verilog/my_counter/simulation/modelsim/my.domy.do文件如下:view signalview waveadd wave *#将设计文件的内部信号cnt以无符号十进制的形式加入到波形图add wave -radix unsigned /top_tb/tb_counter/cntrun –all5,用altera_modelsim6.1g做布局布线后的仿真(后仿真),设置地方有2处如下:仿真图如下,可以看到下图明显存在延时信息:。

在modelsim se中编译altera仿真库

在modelsim se中编译altera仿真库

在Modelsim SE中编译Altera仿真库本文基于Modelsim 6.1 SE版本,详细讲述了如何在Modelsim SE中编译Altera的VHDL 仿真库的过程(Verilog仿真库与此类似)。

然后修改modelsim.ini文件,使得每次新建工程的时候不需要再重新编译Altera仿真库。

1.从主菜执行File→New→Library命令,如图1 新建库所示.图1 新建库2.执行完第一步后,弹出图2对话框。

选中a new library and a logical mapping to it,LibraryName填写altera_mf,Library Physical Name填写$MODEL_TECH\altera_lib\vhdl\altera_mf(注:建议编译后的VHDL库文件存放在Modelsim安装目录\altera_lib\vhdl的文件夹下,本文中的Modelsim安装目录为C 盘根目录)。

如图2 创建库所示。

3.点击OK,如下图所示。

图3. 创建库后的图示4.从主菜单执行Compile→Compile…命令,弹出一个对话框,点中Library下拉菜单,由work改为altera_mf,点中查找范围下拉菜单,指向Quartus II安装目录\quartus60\eda\sim_lib,选中altera_mf.vhd和altera_mf_components.vhd文件,然后点击Compile按钮。

图4 编译altera_mf.vhd和altera_mf_components.vhd文件5.编译结束后,点击Done按钮。

接下来建立库lpm,步骤与1~5类似。

6.从主菜执行File→New→Library命令,如图1 新建库所示.7.执行完上一步后,弹出图2对话框。

选中a new library and a logical mapping to it,LibraryName填写lpm,Library Physical Name填写$MODEL_TECH\altera_lib\vhdl\lpm(注:建议编译后的VHDL库文件存放在Modelsim安装目录\altera_lib\vhdl的文件夹下,本文中的Modelsim安装目录为C盘根目录)。

ModelSim ALTERA 6.3g_p1使用方法

ModelSim ALTERA 6.3g_p1使用方法

Modelsim ALTERA 6.31、安装1)打开运行81_modelsim_ae_windows.exe,如下图:安装时选择Full product安装。

当出现Install Hardware Security Key Driver时选择“否”。

当出现Add Modelsim To Path选择“是”。

出现Modelsim License Wizard时选择“Close”。

2)在C盘的根目录下新建文件夹“flexlm”,,打开文件夹,运行里面的,将生成的licensefile.dat文件放入flexlm文件夹中,如果有其他程序破解用到这个文件夹以及其中的licensefile.dat,请将生成的licensefile.dat更名为licensefile1.dat或者其他的名字。

3)修改系统的环境变量。

右键点击桌面我的电脑图标,属性->高级->环境变量->(系统变量)新建。

按下图所示内容填写,变量值内如果已经有别的路径了,请用“;”将其与要填的路径分开。

LM_LICENSE_FILE = c:\flexlm\license.dat;c:\flexlm\licensefile.dat4)安装完成,运行!2、Modelsim ALTERA 6.3的使用方法Modelsim的仿真主要有以下几个步骤:建立库并映射库到物理目录;编译原代码(包括Testbench;执行仿真。

1)建立库运行Modelsim ALTERA 6.3,点击工具栏的“File”—>“Change Directory…”选择你要建立库的位置:仿真库是存储已编译设计单元的目录,modelsim中有两类仿真库,一种是工作库,默认的库名为work,另一种是资源库。

Work库下包含当前工程下所有已经编译过的文件。

所以编译前一定要建一个work库,而且只能建一个work库。

资源库存放work库中已经编译文件所要调用的资源,这样的资源可能有很多,它们被放在不同的资源库内。

荐)ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

荐)ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

荐)ModelSim SE仿真Altera库的一些问题常见仿真错误问题合集1. modelsim怎么调用altera的库仿真啊?(megafunctions)以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎么编译错误啊?是eda/sim_lib里,编译错误,我想是你编译的顺序不对用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。

一般是缺库。

你可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。

这样一般就好了。

如何在modelsim里如altera的库中做后仿真啊,急死了我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:quartusedasim_libmodelsimvhdl里面的两个库,但是编译的时候还是提示我找不到library apex20k。

还要加什么库啊???郁闷死了vlib apex20kvmap apex20k apex20kvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhdvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不知道是什么原因,modelsim里面的提示如下:vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd}# Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003# -- Loading package standard# ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k".# No such file or directory. (errno = ENOENT)# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found.# -- Loading package std_logic_1164# -- Loading package numeric_std# -- Loading package components# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exitinglibrary ieee, acex2k;use ieee.std_logic_1164.all;use ieee.numeric_std.all;library synplify;use ponents.all;use acex2k.acex2k_components.all;~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用synplify综合后的文件的前面几行代码。

ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

ModelSim SE仿真Altera库的一些问题常见仿真错误问题合集1. modelsim怎么调用altera的库仿真啊?(megafunctions)以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎么编译错误啊?是eda/sim_lib里,编译错误,我想是你编译的顺序不对用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。

一般是缺库。

你可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。

这样一般就好了。

如何在modelsim里如altera的库中做后仿真啊,急死了我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:quartusedasim_libmodelsimvhdl里面的两个库,但是编译的时候还是提示我找不到library apex20k。

还要加什么库啊???郁闷死了vlib apex20kvmap apex20k apex20kvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhdvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不知道是什么原因,modelsim里面的提示如下:vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd}# Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003# -- Loading package standard# ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k".# No such file or directory. (errno = ENOENT)# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found.# -- Loading package std_logic_1164# -- Loading package numeric_std# -- Loading package components# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exitinglibrary ieee, acex2k;use ieee.std_logic_1164.all;use ieee.numeric_std.all;library synplify;use ponents.all;use acex2k.acex2k_components.all;~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用synplify综合后的文件的前面几行代码。

ModelSim的使用(Altera官网)

ModelSim的使用(Altera官网)

About Using the ModelSim Software with theQuartus II SoftwareAuthor: Kerwin. XieModelSim-Altera Design Flow(For Altera Version)1.Set up the ModelSim-Altera working environment2.Set up a project with the ModelSim-Altera software3.Perform a functional simulation with the ModelSim-Altera software4.Perform a timing simulation with the ModelSim-Altera softwareModelSim PE/SE Design Flow(For PE/SE Version)1.Set up the ModelSim working environment2.Set up a project with the ModelSim softwarepile libraries and design files with the ModelSim software4.Perform a functional simulation with the ModelSim software5.Perform a timing simulation with the ModelSim software目录MODELSIM-ALTERA版本仿真流程 (3)1、建立M ODEL S IM-A LTERA工作环境 (3)2、用M ODEL S IM-A LTERA建立工程 (3)3、用M ODEL S IM-A LTERA执行功能仿真 (3)4、用M ODEL S IM-A LTERA执行时序仿真 (4)MODELSIM-PE/SE版本仿真流程 (6)P ERFORMING A F UNCTIONAL S IMULATION WITH THE M ODEL S IM S OFTWARE (6)P ERFORMING A T IMING S IMULATION WITH THE M ODEL S IM S OFTWARE (7)相关知识链接 (9)M ODEL S IM P RECOMPILED L IBRARIES(预编译库) (9)A LTERA F UNCTIONAL S IMULATION L IBRARIES(功能仿真库) (12)A LTERA P OST-F IT L IBRARIES(后适配库) (20)P ERFORMING P OWER A NALYSIS WITH THE Q UARTUS II S OFTWARE AND O THER EDA T OOLS (30)使用QUARTUSII自动运行MODELSIM仿真 (37)1、配置N ATIVE L INK (37)2、运行仿真 (39)3、产生T ESTBENCH (40)相关链接:ModelSim-Altera软件支持谢银坤Kerwin Xie2010年1月20日ModelSim-Altera版本仿真流程1、建立ModelSim-Altera工作环境1.1版本说明ModelSim-Altera(OEM)version 6.4aQuartusII version 9.0该ModelSim版本支持所有QuartusII支持的Altera器件。

关于为Modelsim编译xilinx和altera元件库的那些事

关于为Modelsim编译xilinx和altera元件库的那些事

关于为Modelsim编译xilinx和altera 元件库的那些事(绝对是最简单的方法)对于quartus 和ise来说,如果用modelsim来仿真的话,需要编译quartus和ise的几个库,网上的方法大多比较繁琐,难以试用不熟悉modelsim的初学者。

小弟意外的发现,原来,quartus和ise 本身便带有编译库的工具,我们只需轻点几下鼠标,便可编译完所有的库,而且生成的都是标准库,以后modelsim都会自动调用,简直太方便了。

1 编译生成altera的标准库1.1 在开始菜单中altera的文件夹中启动qurtus的simulation library compiler.如下图所示。

1.2 按下图所示进行设置1.Tool name选择 modelsim;2.executable location选择modelsim的执行路径;3将available families 从左侧全部移入右侧;4 output directory即生成的库存放的位置,自己随便建个文件夹,然后选中这个文件夹的位置即可接着点击start compilation,剩下的只是耐心等待了——OK,搞定。

2 编译生成xilinx的标准库这里的步骤和生成altera的标准库极其一致,相信我不用说,聪明的你们一定也明白了。

2.1 在开始菜单中xilinx ise design suite 的文件夹中按下图所示启动simulation library compilation wizard。

2.2 Ise软件做的更加智能,所有设置都已默认设好,我们只需一路点击next即可。

不再截图3 小结至此无论你是用ise还是quartus,当你调用了IP Core时再也不用为了仿真而焦头烂额的去一遍一遍的去编译它们的库文件了,因为上述方法生成的是标准库,从此便一劳永逸了。

打开modelsim后你会惊奇的发现library那一栏里多了好多库,整个屏幕都无法完全显示,如下图所示。

用moselsim SE仿真ALTERA FFT core 初步成功

用moselsim SE仿真ALTERA FFT core 初步成功

标签:altera FFT IP核modelsim仿真用moselsim SE仿真ALTERA FFT core 初步成功这几天一直在折腾Modelsim SE仿真QuartusIPcore,仿真FFTcore 时发现网上几乎没有这方面的资料,也找不到哪位大侠仿真成功的消息。

我今天初步仿真成功,FFT仿真有结果,就是没做具体的验证工作,哪位感兴趣的可以继续验证。

下面是如何用Moselsim SE 仿真Altera FFTcore。

(一)准备知识:1、了解quartus,知道怎么用MegaWizard Plug-In manager生成IP core2、会用Modelsim SE仿真QuartusIPcore(二)开发环境:Quartus版本:quarus 8.0MegaCore版本:FFT Megacore Function V8.0。

这个是装quartus 8.0时自带的,不用再安装了。

Modelsim版本:Modelsim SE 6.1f(三)步骤<1> quartus生成 FFT ip core1、首先确保quartus和Modelsim SE 正确安装,这里就不再赘述了。

2、生成FFT core。

新建一个quartus工程, 比如建在E:\quartus\FFT_sim目录下。

然后选择Tools->MegaWizard Plug-In manager…,在出现的页面左侧依次展开DSP->transforms->FFT V8.0,点击Next,出现下面的页面,如图1。

图1即为生成FFTcore的配置页面。

图13 点击step 1:Parameterize,配置FFT的参数,如图2。

关于如何配置参数,看FFT userguide吧,不详述。

点击finish图24 点击step 2:Set Up Simulation。

此页面即为仿真配置页面。

选中Generate Simulation Model前面的复选框,如图3中箭头所示。

Quartus II 13.1 +ModelSim-Altera 10.1d (Quartus

Quartus II 13.1 +ModelSim-Altera 10.1d (Quartus

Quartus II 13.1 +ModelSim-Altera 10.1d仿真步骤华中科技大学自卓1701 吴宜正一、建立工程1、打开Quartus Ⅱ,点击new project图标即可新建一个Verilog工程,选好自己想要建立工程的文件夹,输入工程名和顶层实体名,点击next,进入下一步。

、2、可以向工程中加入已经存在的文件,如果没有点击next即可。

3、选取自己想要的器件,点击next即可。

4、在实验中最好在方框中选取Verilog HDL,以便之后编写Testbench文件。

点击next按钮。

之后finish即可。

5、添加Verilog文件在file菜单中选择new,之后输入自己的代码保存即可添加到工程中。

二、编译代码以二进制-ASCII码转化器为例输入代码后进行编译,编译可以按照以下步骤进行1、分析与综合。

点击菜单项Processing->start->Start Analysis &Synthesis也可以直接在工具栏找到。

如果编译成功,左下角窗口有如下显示。

2、全编译文件。

点击菜单项Processing->start compilation,或使用CTRL+L执行全编译。

执行后,如果程序没有问题左下角窗口有如下显示:三、电路仿真1、设定仿真工具此版本Quartus不提供仿真功能,需要调用Modelsim进行仿真,而如果要使用Modelsim 进行仿真首先要编写Testbench文件,在Quartus中,首先要设定仿真工具assignments-setting -EDA tool setting -simulation选择你需要的工具。

这里如果你使用的是下载Quartus时自动下载的ModelSim-Altera那么就选择这个,而如果使用的是单独下载的ModelSim那么就要选择ModelSim。

2. 自动产生测试激励文件模板:在菜单项中选择processing - start - Start test bench template writer3、添加testbench这时Quartus已经自动为你生成一个.vt文件,但是没有自动添加到你的工程内,需要自己去工程目录内寻找。

ModelSim-Altera_6.5仿真入门教程

ModelSim-Altera_6.5仿真入门教程

平台软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition内容1 设计流程使用ModelSim仿真的基本流程为:图1.1 使用ModelSim仿真的基本流程2 开始2.1 新建工程打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面1. 选择File>New>Preject创建一个新工程。

打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name为work。

指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。

该对话框如图2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。

图2.3 输入工程信息当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。

图2.4 Project标签3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目2.2 在工程中,添加新项目在Add Items to the Project对话框中,包括以下选项:•Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件•Add Existing File——添加一个已存在的文件•Create Simulation——创建指定源文件和仿真选项的仿真配置•Create New Folder——创建一个新的组织文件夹1. 单击Create New File。

打开图2.6所示窗口。

图2.6 创建工程文件夹2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

ModelSim中Altera仿真库的添加

ModelSim中Altera仿真库的添加

ModelSim中Altera仿真库的添加最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样ModelSim就不能直接进行仿真,而QuartusII又不支持Tesbbench的仿真,所以为了在ModelSim中仿真就必须将Altera的这些仿真库添加到ModelSim中。

通常,在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:·Quartus不支持Testbench;·调用了megafunction或者lpm库之类的Altera的函数;·时序仿真要在Modelsim下做仿真。

下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:1.设置仿真库路径打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。

启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。

或在命令行中执行cd D:/ModelSim/Installfiles/altera。

2.新建库Quartus II中提供的仿真库文件存放的路径是...\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。

用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。

Altera_Modelsim仿真资料

Altera_Modelsim仿真资料

ModelSim学习笔记(一)初学ModelSimSE时被迷糊了几天的若干概念黄俊April 2007以前用的是LATTICE的,ispLEVER有自带了一个OEM版的ModelSim。

要仿真时,不需要添加库,用起来比较方便,自己有点懒,所以就一直凑合着用。

现在转向用ALTERA 了,ALTERA也有OEM版的ModelSim,也不用添加库。

后来听说ModelSim SE的功能更强大,速度更快,所以就决定把ModelSim SE好好摸索一下,再多学习一点关于TestBench 技巧方面的知识。

我的学习资料主要是ModelSim SE自带的教程、ALTERA提供的资料以及edacn上面ModelSim专栏由网友们上传的资料。

因为是初学,加上看到英文资料一大堆,烦都烦死,而有些中文文档可能是有些步骤没有讲清楚,我实际按照文档上面说的一步一步做下来也老是完成不了,花了不少时间。

我于是就想自己摸清楚后,把步骤截图下来,整理清楚,做成笔记。

一方面加深自己的认识,另一方面对初学者也许会有些许用处。

我近期计划陆续整理出以下几个方面的学习笔记:初学ModelSimSE时被迷糊了几天的若干概念在ModelSimSE中添加ALTERA仿真库的详细步骤用ModelSimSE进行功能仿真和时序仿真的方法(ALTERA篇)ModelSimSE中常用到的几个命令及DO文件的学习笔记近来学到的几招TestBench的技巧MSN: paulhuang_sz@E-mail: huangjun5927@Blog: /index.php/2599初学ModelSimSE时被迷糊了几天的若干概念1、什么是库?2、什么是设计单元?3、如何添加ALTERA仿真库?4、ModelSim的流程有哪些,实际开发中哪种流程最适用?5、操作ModelSim要学习哪些操控方式?1、什么是库(Library)?(1)我理解的库就是用来存放已编译好的设计单元(Design Units)的文件夹。

quartus软件下的modesim仿真方法

quartus软件下的modesim仿真方法

一、Quartus 2中仿真环境设置1、设置仿真软件modelsim-altera路径:tools->options->general->eda tool options,弹出对话框:Modelsim-altera:C:\altera\11.0\modelsim_ase\win32aloem(说明:C:\altera\11.0\modelsim_ase\win32aloem为modelsim安装路径)点击:ok2、Assignments->settings->EDA tools settings->simulation,弹出对话框:Tool name:modelsim—altera;Format for output netlist:verilog hdl;设置好后,点击apply和ok;3.测试平台设计(自动生成测试平台模板文件)先将Con_Motor.v文件设置为顶层文件;Processing->start->start test bench template writer,如图:生成的testbench文件路径:项目\simulation\modelsim\顶层文件名.vt,即testbench文件与顶层文件名相同;该例为Con_Motor.vt。

(1)向设计工程添加测试平台文件Con_Motor.vt;(2)打开Con_Motor.vt文件后:先用双斜杠//屏蔽掉@eachvec语句,即//屏蔽掉@eachvec语句;(把@eachvec注释掉才能得到一段很长的波形,不然仿真时间就非常短,如果在它之前有在这个always过程块里规定时钟信号的翻转的话,这个时钟信号也不会翻转。

总之,注释掉它。

)添加激励信号clk和command,修改后的测试平台文件如下:`timescale 1ns/ 1nsmodule Con_Motor_vlg_tst();// constants// general purpose registersreg eachvec;// test vector input registersreg CLK;reg Command;// wireswire CP;// assign statements (if any)Con_Motor i1 (// port map - connection between master ports and signals/registers.CLK(CLK),.CP(CP),.Command(Command));initialbegin// code that executes only once// insert code here --> beginCLK =0;Command =0;#5 Command =1;// --> end$display("Running testbench");endalways// optional sensitivity list// @(event1 or event2 or .... eventn)begin// code executes for every event on sensitivity list// insert code here --> begin#1 CLK <= ~CLK;//@eachvec;// --> endendendmodule(1)向仿真工程添加测试平台文件:Assignments->settings->simulation->compile test bench ,如图:单击“test benches..”,如图:单击“new”,如图:Test bench name:测试平台名,即.vt的文件名;Top level module in test bench:test bench中顶层模块名,即testbench中的待测模块名;注意:Top level module in test bench 必须和testbench中的待测模块名一致;Test bench files栏的File name项:测试平台文件名,和Test bench name相同,即.vt的文件名,单击...进行浏览,找到.vt文件,该.vt文件的浏览图:单击open打开;单击ADD添加后,图:单击ok后,如图:单击“ok”后,如图:单击apply,再单击ok,完成测试平台向仿真工程的添加。

ModelSim-Altera使用简介20130710

ModelSim-Altera使用简介20130710

使用ModelSim的基本思路:1、打开ModelSim后的界面,选择File>New>Preject创建一个新工程,打开的CreateProject对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name为work。

图 12、单击OK后将出现Add items to the Project对话框。

有四个选项可以选择图 23、我们单击单击Create New File,出现如下窗口。

File Name填写modelsim_1,选择Verilog。

图34、选择OK后,新建设计文件modelsim_1。

图 45、双击modelsim_1文件,打开后便可输入代码。

图 56、代码输入完成后,及时保存。

之后就可以编译文件了。

在Project标签下的Status列的问号,表示文件尚未编译进工程,或者在最后编译前,源文件有所改动。

欲编译文件,选择Compile<Compile ALL,或者右击Project标签,选择Compile>Compile All。

倘若此处没有错误,编译成功的消息,就会在Transcript窗口。

图 67、编译成功后,就可以开始仿真。

单击Library图标,选择work,单击+以展开选项,然后选择要仿真的文件。

单击右键,选择编译,如图所示。

图7单击Simulate,进入仿真窗口。

图88、在图8中,单击compareTop,单击右键,然后选择Add>To Wave>All Items in region,然后单击左键。

出现图9所示画面。

图99、在Run Length列可选择仿真时间长度。

单击Run按钮或Run All按钮,运行若干时间后便可以看到仿真波形,可以通过放大或缩小来调整。

图10。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档