乐曲硬件演奏电路设计

合集下载

乐曲硬件电路演奏设计

乐曲硬件电路演奏设计

乐曲硬件电路演奏设计一、设计要求①了解元件例化语句的调用;②掌握自顶向下的数字系统设计方法;③了解乐器硬件演奏电路的工作原理;④能够播放“梁祝”乐曲。

二、设计过程2.1设计原理2.1.1 乐曲的发声原理组成乐曲的2个基本要素是:每个音符的发音频率值及其持续的时间(节奏)。

一般人能听到声音的频率范围约在几十到几千赫兹,可以利用程序控制FPGA某个引脚输出一定频率的矩形波,接上扬声器后就能发出相应频率的声音,演奏某个音符的音调。

同时,若能控制每个音符的持续时间,也就控制了乐曲的节奏,因此只要控制输出到扬声器的信号频率的高低以及每个信号持续的时间长短,即可实现乐曲的演奏。

2.1.2 音符与频率的关系乐曲的12平均率规定;每2个八度(如简谱中的中音1与高音1)之间的频率相差1倍。

在2个八度音之间,又可分为12个半音,每2个半音的频率比为12/2。

另外,音符A的频率为440HZ,音符B到C之间,E 到F之间为半音,其余为全音。

由此可以计算出简谱中低音l至高音1之间每个音符的频率。

设计音符查找表电路模块,时钟模块,数控分频器模块,音乐节拍产生模块电路。

2.1.3 音符的获得方法所有不同频率的信号都可以从同一个系统基准频率分频而来,可以采用GENERIC类属语句,预置不同频率音符信号根据系统基准频率值计算分频系数(分频系数=系统基准频率/音符频率)由于个音符对应的频率为非整数,而分频系数必须为整数型,故要四舍五入取整,得到不同频率的音符。

2.1.4乐曲节奏的控制每个音符持续的时间是乐曲连续播放的另一个基本要素,如果采用1MHz的频率作为系统基准频率,则需要对1MHz的信号进行250000分频得到4Hz信号作为一个四分音符的频率。

对于其他占用时间较长的节拍(必须是四分之一拍的整数倍)则只需要将该音符连续输出相应遍数即可。

2.2设计方案2.2.1简谱码对应的分频预置数查表电路音符的持续时间根据乐曲的速度及每个音符的节拍来确定,模块ToneTaba的功能首先是为Spearkera提供决定所发音符的分频预置数,而此数在Spearkera输入口停留的时间即为音符的节拍值,然后模块ToneTaba是乐曲简谱码对应的分频预置数查表电路,其中设置了“梁祝”乐曲全部音符所对应的分频预置数共13个。

eda乐曲硬件演奏电路课程设计

eda乐曲硬件演奏电路课程设计

eda乐曲硬件演奏电路课程设计一、课程目标知识目标:1. 学生能够理解EDA乐曲硬件演奏电路的基本原理,掌握电路组成及各部分功能。

2. 学生能够描述常见电子元件在演奏电路中的作用,并运用相关知识分析电路性能。

3. 学生能够掌握编程软件及硬件设计工具,完成简单的EDA乐曲演奏电路设计。

技能目标:1. 学生能够运用所学知识,独立完成演奏电路的搭建与调试。

2. 学生能够通过编程软件,编写简单的乐曲程序,实现乐曲演奏。

3. 学生能够运用团队协作能力,共同解决演奏电路设计过程中遇到的问题。

情感态度价值观目标:1. 学生通过本课程的学习,培养对电子技术和音乐艺术的热爱,提高审美情趣。

2. 学生在学习过程中,养成积极探究、勇于创新的精神,增强实践操作能力。

3. 学生能够认识到科技与艺术的结合在生活中的应用,增强社会责任感和团队合作意识。

课程性质:本课程为实践性较强的课程,结合电子技术与音乐艺术,旨在培养学生的动手能力、创新思维和团队协作能力。

学生特点:本年级学生具备一定的电子技术基础知识,对音乐艺术有一定兴趣,好奇心强,善于动手实践。

教学要求:教师应注重理论与实践相结合,引导学生积极参与实践操作,鼓励学生创新思维,提高团队协作能力。

同时,关注学生的个体差异,因材施教,确保每位学生都能在课程中收获成长。

二、教学内容本课程教学内容主要包括以下三个方面:1. 理论知识学习:- 电路基础知识:回顾电路的基本概念、原理及电路图的识别。

- 电子元件介绍:学习常用电子元件的原理、功能及在演奏电路中的应用。

- EDA软件使用:介绍编程软件及硬件设计工具的使用方法,如Multisim、Proteus等。

2. 实践操作能力培养:- 电路搭建:学习演奏电路的搭建方法,熟悉各类电子元件的连接方式。

- 程序编写:编写简单的乐曲程序,掌握编程技巧,实现乐曲演奏。

- 调试与优化:对搭建的演奏电路进行调试,找出问题并进行优化。

3. 创新思维与团队协作:- 设计实践:运用所学知识,小组合作完成指定乐曲的演奏电路设计。

EDA课程设计---乐曲硬件演奏电路的VHDL设计

EDA课程设计---乐曲硬件演奏电路的VHDL设计

EDA课程设计题目:乐曲硬件演奏电路的VHDL设计一、 设计题目:乐曲硬件演奏电路的VHDL 设计二、 设计目标:1)能够播放“梁祝”乐曲。

2)能够通过LED 显示音阶。

3)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。

三、 设计原理:1. 音乐基础知识一段简单乐谱由音调和节拍组成,音调表示一个音符唱多高的频率,节拍表示一个音符唱多长的时间。

音符的节拍我们可以举例来说明。

在一张乐谱中,我们经常会看到这样的表达式,如1=C 44、1=G 43……等等。

以43为例加以说明,它表示乐谱中以四分音符为节拍,每一小结有三拍。

比如:图1其中1 、2 为一拍,3、4、5为一拍,6为一拍共三拍。

1 、2的时长为四分音符的一半,即为八分音符长,3、4的时长为八分音符的一半,即为十六分音符长,5的时长为四分音符的一半,即为八分音符长,6的时长为四分音符长。

那么一拍到底该唱多长呢?一般说来,如果乐曲没有特殊说明,一拍的时长大约为400—500ms 。

我们以一拍的时长为400ms为例,则当以四分音符为节拍时,四分音符的时长就为400ms,八分音符的时长就为200ms,十六分音符的时长就为100ms。

2.原理图框图:图2.框图3.原理图说明音乐播放原理说明音符的频率由数控分频器模块Speakera产生。

ToneTaba模块从NoteTabs模块中输入的音符数据,将对应的分频预置数据传送给Speakera模块,并将音符数据送到LED模块显示音阶。

NoteTabs模块中包含有一个音符数据ROM,里面存有歌曲“梁祝”的全部音调,在此模块中设置了一个8位二进制计数器,作为音符数据ROM的地址发生器。

这个计数器的计数频率为4Hz,即每一个数值的停留时间为0.25秒。

例如:“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒钟时间,所对应的“3”音符分频预置数为1036,在Speakera的输入端停留了1秒。

乐曲硬件演奏电路设计

乐曲硬件演奏电路设计

目录目录 0前言: (1)1.题目分析 (1)1.1 功能要求 (1)1.2 性能指标 (1)2.设计方案 (2)2.1顶层实体描述 (2)2.2模块划分 (3)2.3模块描述 (3)2.3.1 Speakera模块 (3)2.3.2 ToneTaba模块 (3)2.3.3 NoteTabs模块 (4)2.4顶层电路图 (5)3.方案实现 (5)3.1 NoteTabs模块仿真及描述 (5)3.2 ToneTaba模块仿真及描述 (5)3.3 Speakera模块仿真及描述 (6)3.4顶层电路仿真及描述 (6)4.硬件测试及说明 (6)5.结论 (7)6.课程总结 (7)7.附录 (8)前言:这次设计实验我做的是乐曲硬件演奏电路设计,通过在系统编程技术课程的学习,我已经学会了用VHDL语言来实现系统要求的电路设计。

VHDL语言具有良好的电路行为描述和系统描述的能力,用VHDL语言进行电子系统的设计非常方便和实用,而基于VHDL的自顶向下的设计方法是其很大的一个优点。

1.题目分析1.1 功能要求(1)播放歌曲(2)简谱码输出显示在数码管显示(3)通过LED灯显示音阶1.2 性能指标(1)乐曲硬件演奏电路的基本原理乐曲都是由一连串的音符组成,每一音符对应着一个确定的频率,按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在扬声器上连续地发出各个音符的音调。

我们知道,组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素,所以,掌握好一首歌曲的节奏,就能完整地演奏出来。

(2)音符频率和乐曲节奏多个不同频率的信号可通过对某个基准频率进行分频器获得,本设计中选取750KHz的基准频率,由于clk端输入的是较高频率12MHz信号,可以对其进行16分频。

计数时钟信号作为输出音符快慢的控制信号,时钟快时输出节拍速度就快,演奏的速度也就快,时钟慢时输出节拍的速度就慢,演奏的速度自然降低。

EDA实验报告5_乐曲硬件演奏电路设计

EDA实验报告5_乐曲硬件演奏电路设计

EDA技术与应用实验报告姓名学号专业年级电子信息工程实验题目乐曲硬件演奏电路设计实验目的1.学习利用数控分频器设计硬件乐曲演奏电路2.掌握模块化和层次化的设计方法以及音符编码的设计思想实验原理1.一定频率的矩形波通过扬声器可以发出相应频率的声音,乐曲是由一系列的音符组成的。

所以,如果我们通过控制每个音符的发音频率值及其持续的时间,就可以以纯硬件的手段,利用这些数值来实现所希望演奏的乐曲。

2.该硬件演奏电路由三个模块构成<1>音符数据ROM的地址发生器模块NoteTabs.vhdl内置8位二进制计数器,作为ROM的地址发生器,计数频率4Hz,即每一计数值的停留时间为0.25s,恰为全音符设为1s时,四四拍的4音符持续时间。

随着NoteTabs中的计数器按4Hz的时钟速率作加法计数即地址值递增时,ROM中的音符数据将通过ToneIndex[3..0]输向ToneTaba模块,乐曲即开始演奏起来。

<2>乐曲简谱码对应的分频预置数查表电路模块ToneTaba.vhdlToneTaba为Speakera提供决定所发音符的分频预置数,此数在Speakera输入口停留的时间即为此音符的节拍值。

输入Index[3..0]可确定乐曲全部音符所对应的分频预置数(13个)每一音符的停留时间由NoteTabs模块的clk决定(4Hz)。

<3>决定每一个音符音调的数控分频器模块Speakera.vhdl输入端clk输入一较高频率(12MHz)的信号,经Speakera分频,再经2分频以展宽脉冲后,由SpkOut输出。

11位预置数Tone[10..0]决定由clk输入信号的分频比,SpkOut输出的频率决定每一音符的音调。

实验内容1.定制存放LPM-ROM模块Music,在连续地址上存放乐曲的音符数据,2.用vhdl文本输入法和元件例化语句完成NoteTabs.vhdl的设计,该模块包含音符数据ROM模块Music.vhdl3.完成ToneTaba.vhdl的设计,此模块给数控分频模块提供每个音符所对应的分频预置数,即计数初值4.完成Speakera.vhdl的设计,该模块是一个初值可变的加法计数器。

实验硬件乐曲自动演奏电路实施方案

实验硬件乐曲自动演奏电路实施方案

验十硬件乐曲自动演奏电路设计1、实验目地:学习利用数控分频器设计硬件电子琴实验.实验仪器:PC机,操作系统为Windows2000/xp,Quartus II 5.1 设计平台,GW48系列SOPE/EDA实验开发系统.3、实验原理:本设计乐曲选取《梁祝》中化蝶部分,其简谱如图1所示.图1 《梁祝》中化蝶部分简谱组成乐曲地每个音符地发音频率值及其持续地时间是乐曲能连续演奏所需地2个基本要素,首先让我们来了解音符与频率地关系.乐曲地12平均率规定:每2个八度音(如简谱中地中音1与高音1)之间地频率相差1倍.在2个八度音之间,又可分为12个半音,每2个半音地频率比为.另外,音符A(简谱中地低音6)地频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音.由此可以计算出简谱中从低音1至高音1之间每个音符地频率,如表1所示.表1 简谱中音符与频率地关系主系统由4个模块组成;例1-1是顶层设计文件,其内部有三个功能模块(如图2所示):Tone.VHD(例1-2)和Speaker.VHD(例1-3)及Notetabs.VHD(例1-4).模块TONE是音阶发生器,当4位发声控制输入INDEX中某一位为高电平时,则对应某一音阶地数值将从端口TONE输出,作为获得该音阶地分频预置值;同时由CODE输出对应该音阶简谱地显示数码,如‘5’,并由HIGH输出指示音阶高8度显示.由例6-28可见,其语句结构只是类似与真值表地纯组合电路描述,其中地音阶分频预置值,如Tone <= 1290是根据产生该音阶频率所对应地分频比获得地.图2 硬件电子琴电路结构模块SPEAKER中地主要电路是一个数控分频器,它由一个初值可预置地加法计数器构成,当模块SPEAKER由端口TONE获得一个2进制数后,将以此值为计数器地预置数,对端口CLK12MHZ输入地频率进行分频,之后由SPKOUT向扬声器输出发声.模块NOTETABS,用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器地计数值来控制此真值表地输出,而由此计数器地计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件地乐曲自动演奏电路.试完成此项设计,并在EDA实验系统上地FPGA目标器件中实现之.5、实验内容:编译适配以上4个示例文件,给出仿真波形,最后进行下载和硬件测试实验.建议使用实验电路模式“3”(附图2-5),用短路帽选择“CLOCK9”地输入频率选择12MHz,此信号作为系统输入信号CLK12MHZ;CLK8HZ与clock2相接,接受4Hz频率;键8至键1 作为INDEX输入信号控制各音阶;选择数码管1显示琴音简谱码,发光管D1显示高8度.6、思考题1:例1-3中地进程DelaySpkS对扬声器发声有什么影响?7、思考题2:电路上应该满足哪些条件,才能用数字器件直接输出地方波驱动扬声器发声?8、实验报告:用仿真波形和电路原理图,详细叙述硬件电子琴地工作原理及其4个VHDL 文件中相关语句地功能,叙述硬件实验情况..附录;【例10-1】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY TOP IS -- 顶层设计PORT ( CLK12MHZ : IN STD_LOGIC;CLK8HZ : IN STD_LOGIC;CODE1 : OUTINTEGERRANGE 0 TO 15;HIGH1,SPKOUT : OUT STD_LOGIC);END;ARCHITECTURE one OF TOP ISCOMPONENT TonePORT ( Index : IN INTEGERRANGE 0 TO 15;CODE : OUTINTEGERRANGE 0 TO 15;HIGH : OUT STD_LOGIC;Tone : OUT INTEGER RANGE 0 TO 16#7FF# ); --11位2进制数 END COMPONENT;COMPONENT SpeakerPORT ( clk : IN STD_LOGIC;Tone1 : IN INTEGER RANGE 0 TO 16#7FF#; --11位2进制数 SpkS : OUT STD_LOGIC );END COMPONENT;component NotetabsPort ( clk :in std_logic;index0 : out INTEGERRANGE 0 TO 15);end component;SIGNAL Tone2 : INTEGERRANGE0 TO 16#7FF#;SIGNAL Indx: INTEGERRANGE 0 TO 15;BEGIN -- 安装U1, U2, U3u1 : Tone PORT MAP (Index=>Indx, Tone=>Tone2,CODE=>CODE1,HIGH=>HIGH1); u2 : Speaker PORT MAP (clk=>CLK12MHZ,Tone1=>Tone2, SpkS=>SPKOUT );u3 : Notetabs PORT MAP(clk=>CLK8HZ,Index0=>Indx);END;【例10-2】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Tone ISPORT ( Index : IN INTEGERRANGE 0 TO 15;CODE : OUTINTEGERRANGE 0 TO 15;HIGH : OUT STD_LOGIC;Tone : OUTINTEGERRANGE0 TO 16#7FF# );END;ARCHITECTURE one OF Tone ISBEGINSearch : PROCESS(Index)BEGINCASE Index IS -- 译码电路,查表方式,控制音调地预置数 WHEN 0=>Tone <= 2047; CODE <= 0; HIGH <= '0';WHEN 1=>Tone <= 773; CODE <= 1; HIGH <= '0';WHEN 2=>Tone <= 912; CODE <= 2; HIGH <= '0';WHEN 3=>Tone <= 1036; CODE <= 3; HIGH <= '0';WHEN 5=>Tone <= 1197; CODE <= 5; HIGH <= '0';WHEN 6=>Tone <= 1290; CODE <= 6; HIGH <= '0';WHEN 7=>Tone <= 1372; CODE <= 7; HIGH <= '0';WHEN 8=>Tone <= 1410; CODE <= 1; HIGH <= '1';WHEN 9=>Tone <= 1480; CODE <= 2; HIGH <= '1';WHEN 10=>Tone <= 1542; CODE <= 3; HIGH <= '1';WHEN 12=>Tone <= 1622; CODE <= 5; HIGH <= '1';WHEN 13=>Tone <=1668; CODE <= 6; HIGH <= '1';WHEN 15=>Tone <= 1728; CODE <= 1; HIGH <= '1';WHEN OTHERS =>NULL;END CASE;END PROCESS;END;【例10-3】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Speaker ISPORT ( clk : IN STD_LOGIC;Tone1 : IN INTEGER RANGE 0 TO 16#7FF#;SpkS : OUT STD_LOGIC );END;ARCHITECTURE one OF Speaker ISSIGNAL PreCLK , FullSpkS : STD_LOGIC;BEGINDivideCLK : PROCESS(clk) -- 将CLK进 11分频,PreCLK为C L 11K 6分频VARIABLE Count4 : INTEGERRANGE 0 TO 15;BEGINPreCLK <= '0';IF Count4 > 11 THEN PreCLK <= '1'; Count4 := 0;ELSIF clk'EVENT AND clk='1' THEN Count4 := Count4 + 1;END IF;END PROCESS;GenSpkS : PROCESS(PreCLK, Tone1)VARIABLE Count11 : INTEGERRANGE0 TO 16#7FF#;BEGIN -- 11位可预置计数器IF PreCLK'EVENT AND PreCLK = '1' THENIF Count11=16#7FF# THEN Count11 := Tone1; FullSpkS <= '1'; ELSE Count11:=Count11 + 1; FullSpkS <= '0';END IF;END IF;END PROCESS;DelaySpkS : PROCESS(FullSpkS)VARIABLE Count2 : STD_LOGIC;BEGINIF FullSpkS'EVENT AND FullSpkS = '1' THEN Count2 := NOT Count2;IF Count2 = '1' THEN SpkS <= '1';ELSE SpkS <= '0';END IF;END IF;END PROCESS;END;【例10-4】library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity Notetabs isPort ( clk: in std_logic; --系统时钟;键盘输入/自动演奏 index0 : out INTEGER RANGE 0 TO 15); --音符信号输出end NOTETABS;architecture Behavioral of Notetabs issignal count0:integer range 0 to 138;--changebeginmusic:process(clk) --此进程完成自动演奏部分曲地地址累加beginif clk'event and clk='1' thenif count0=138 then count0<=0;else count0<=count0+1;end if;end if;end process;com1:process(count0)begincase count0 is --此case语句:存储自动演奏部分地曲when 00 =>index0<=3;when 01 =>index0<=3;when 02 =>index0<=3;when 03 =>index0<=3;when 04 =>index0<=5;when 05 =>index0<=5;when 06 =>index0<=3;when 07 =>index0<=6;when 08 =>index0<=8;when 09 =>index0<=8;when 10 =>index0<=8;when 11 =>index0<=9;when 12 =>index0<=6;when 13 =>index0<=8;when 14 =>index0<=5;when 15 =>index0<=5;when 16 =>index0<=12;when 17 =>index0<=12;when 18 =>index0<=12;when 19 =>index0<=15;when 20 =>index0<=13;when 21 =>index0<=12;when 22 =>index0<=10;when 23 =>index0<=12;when 25 =>index0<=9; when 26 =>index0<=9; when 27 =>index0<=9; when 28 =>index0<=9; when 29 =>index0<=9; when 30 =>index0<=9; when 31 =>index0<=0; when 32 =>index0<=9; when 33 =>index0<=9; when 34 =>index0<=9; when 35 =>index0<=10; when 36 =>index0<=7; when 37 =>index0<=7; when 38 =>index0<=6; when 39 =>index0<=6; when 40 =>index0<=5; when 41 =>index0<=5; when 42 =>index0<=5; when 43 =>index0<=6; when 44 =>index0<=8; when 45 =>index0<=8; when 46 =>index0<=9; when 47 =>index0<=9; when 48 =>index0<=3; when 49 =>index0<=3; when 50 =>index0<=8; when 51 =>index0<=8; when 52 =>index0<=6; when 53 =>index0<=5; when 54 =>index0<=6; when 55 =>index0<=8; when 56 =>index0<=5; when 57 =>index0<=5; when 58 =>index0<=5; when 59 =>index0<=5; when 60 =>index0<=5; when 61 =>index0<=5; when 62 =>index0<=5; when 63 =>index0<=5; when 64 =>index0<=10; when 65 =>index0<=10; when 66 =>index0<=10; when 67 =>index0<=12;when 69 =>index0<=7; when 70 =>index0<=9; when 71 =>index0<=9; when 72 =>index0<=6; when 73 =>index0<=8; when 74 =>index0<=5; when 75 =>index0<=5; when 76 =>index0<=5; when 77 =>index0<=5; when 78 =>index0<=5; when 79 =>index0<=5; when 80 =>index0<=3; when 81 =>index0<=5; when 82 =>index0<=3; when 83 =>index0<=3; when 84 =>index0<=5; when 85 =>index0<=6; when 86 =>index0<=7; when 87 =>index0<=9; when 88 =>index0<=6; when 89 =>index0<=6; when 90 =>index0<=6; when 91 =>index0<=6; when 92 =>index0<=6; when 93 =>index0<=6; when 94 =>index0<=5; when 95 =>index0<=6; when 96 =>index0<=8; when 97 =>index0<=8; when 98 =>index0<=8; when 99 =>index0<=9; when 100=>index0<=12; when 101=>index0<=12; when 102=>index0<=12; when 103=>index0<=10; when 104=>index0<=9; when 105=>index0<=9; when 106=>index0<=10; when 107=>index0<=9; when 108=>index0<=8; when 109=>index0<=8; when 110=>index0<=6; when 111=>index0<=5;when 113=>index0<=3;when 114=>index0<=3;when 115=>index0<=3;when 116=>index0<=8;when 117=>index0<=8;when 118=>index0<=8;when 119=>index0<=8;when 120=>index0<=6;when 121=>index0<=8;when 122=>index0<=6;when 123=>index0<=5;when 124=>index0<=3;when 125=>index0<=5;when 126=>index0<=6;when 127=>index0<=8;when 128=>index0<=5;when 129=>index0<=5;when 130=>index0<=5;when 131=>index0<=5;when 132=>index0<=5;when 133=>index0<=5;when 134=>index0<=5;when 135=>index0<=5;when 136=>index0<=0;when 137=>index0<=0;when 138=>index0<=0;when others => null;end case;end process;end Behavioral;版权申明本文部分内容,包括文字、图片、以及设计等在网上搜集整理.版权为个人所有This article includes some parts, including text, pictures, and design. Copyright is personal ownership.Zzz6Z。

EDA课程设计——乐曲硬件演奏电路资料

EDA课程设计——乐曲硬件演奏电路资料

《电子技术基础Ⅲ》项目设计报告课程名称任课教师设计题目乐曲硬件演奏电路设计班级姓名学号成绩日期一、题目分析1.设计要求利用FPGA,设计一硬件乐曲硬件演奏电路,能够利用硬件电路,自动播放音乐。

2.题目分析乐曲是由一连串的音符组成,而每个音符的发音频率值及其持续时间是乐曲能连续演奏所需的两个基本因素。

所以,设计音乐发生电路,需要得到相应音符的频率值,及其持续时间。

如果能够得到这两个基本元素,利用程序来控制FPGA 某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。

所以,获取这两个要素是本实验的关键。

(1)频率值频率的高低决定了音调的高低。

由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱,依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。

简谱的音名与频率的关系如下表:表1 简谱中的音名与频率的关系(2)时长这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz 的时钟频率即可产生四分音符的时长。

系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒,如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐曲文件的时候就会连续读到三次,也就会发三个0.25秒的音长,这时我们听上去就会持续了三拍的时间,这样就可以控制音乐的音长了。

二、设计方案1.顶层实体描述音乐播放器,是能够演奏一曲完整的乐曲,在外部时钟的作用下,产生相应频率的方波信号,即在乐曲中对应相应的音符。

并且信号要持续一定的时间,即对应乐曲中的节拍。

得到了音调和节拍后,就可以组成相应的乐曲了。

2.模块划分采用“自顶向下”的设计方法,将音乐播放器模块主要划分为地址发生模块、数控分频模块、music模块和预置数模块。

(1)地址发生模块:增地址计数器,用于产生地址数。

乐曲硬件演奏电路设计毕业

乐曲硬件演奏电路设计毕业

乐曲硬件演奏电路设计毕业电子技术课程设计————乐谱演奏电路设计学院:电子工程与电气自动化学院专业、班级:电子科学与技术(1)班姓名: 王志愿学号:12021034指导教师: 常红霞2014年12月目录一:设计任务要求 (2)1:课程设计题目 (2)2:设计分析 (2)3:工程设计总述 (5)二:总体框图 (8)三:选择器件 (9)四:功能模块:(①模块图形、②程序、③功能仿真图、④功能仿真图分析) (9)1:分频器(div) (9)2:计数器(notetabs) (11)3:选择模块(choice) (12)4:数据翻译模块(tontaba) (14)5:译码器模块(deled) (16)6:数控分频器模块(speakera) (17)7:乐谱数据ROM(四个模块) (19)8:地址线的选择 (27)9:led数码管位选功能的解决方案 (28)五:总体设计电路图…………………………………………28六:六:结束语 (29)乐谱演奏电路设计摘要设计了一种基于FPGA的乐谱演奏,该乐谱演奏由用Verilog硬件描述语言设计的核心部件和适当的外围电路构成,可从蜂鸣器上进行演奏也可自动行乐曲演奏。

基于FPGA(现场可编程门阵列)芯片,利用Verilog语言,介绍了一种通用乐曲演奏电路的设计,可实现多个八度音阶的乐曲演奏,与简谱的对应关系简单,编程方便,占用资源少,通用性好,可作为IP core模块引用,构成复杂的SOPC系统.关键词:乐谱演奏;现场可编程门阵列(FPGA);智力产权核(IP core);超高速集成电路硬件描述语言(Verilog);乐曲AbstractAn electronic piano is designed based on FPGA,which consists of core paris that designed by using Verilog hardware description languages,as well as some periphery circuits.The musical perform ance can be donenot only by keys but also with automatic type in the electronic piano. Based on FPGA,by Verilog language,this paper introduces a universal music circuit design,it can play high,middle and low multiple tonality,simple corresponding relation with simple musical notation,which programs easily using little resource.It can be used universally for IP core in making complicated SOPC system. Key words:electronic piano;Field Programmable Gate Array(FPGA);Intelligence Property core(IP core) ;Very-High-Speed Integrated Circuit Hardware Description Language(Verilog);music一、设计任务要求1、课程设计题目设计一个乐曲演奏电路,能够自动播放编写好的音乐。

硬件乐曲演奏电路设计

硬件乐曲演奏电路设计

硬件乐曲演奏电路设计近年来,随着科技的不断进步和人们对音乐的追求,硬件乐曲演奏电路的设计变得越来越重要。

这种电路的设计可以帮助音乐家们在演奏过程中更加准确地控制乐曲的各个要素,从而达到更高的演奏技巧和音乐表达。

硬件乐曲演奏电路设计主要包括硬件设备的选择和电路的构建。

首先,音乐家需要选择适合自己演奏风格和需求的硬件设备,例如乐器、效果器和控制器等。

这些设备的品质和功能对于演奏效果起着至关重要的作用。

因此,在选择硬件设备时,音乐家需要考虑其音质、响应速度和稳定性等因素。

其次,音乐家还需要根据自己的需求来设计电路。

这包括选择合适的电路元件和构建电路板等。

在设计电路时,音乐家需要考虑到乐曲演奏的各个要素,如音高、音量、音色和音效等。

通过合理的电路设计,音乐家可以实现对这些要素的精确控制,从而达到更好的演奏效果。

在硬件乐曲演奏电路设计中,还需要考虑到电路的可持续性和可靠性。

音乐演奏往往需要长时间的连续使用,因此电路的稳定性和耐用性非常重要。

音乐家需要选择高品质的电子元件和合适的电路布局,以确保电路的可靠性和稳定性。

此外,随着科技的进步,现代硬件乐曲演奏电路设计还可以结合计算机和软件技术。

通过将电路与计算机系统相连接,音乐家可以使用各种软件来实现更多的音乐效果和功能。

例如,可以使用音频处理软件来实现混响、合成和效果等功能,从而扩展音乐演奏的可能性。

总之,硬件乐曲演奏电路设计对于音乐家们的演奏技巧和音乐表达至关重要。

通过选择适合自己需求的硬件设备和设计合理的电路,音乐家可以实现对乐曲各个要素的准确控制,达到更高水平的演奏效果。

随着科技的发展,硬件乐曲演奏电路设计还将与计算机和软件技术相结合,为音乐家们带来更多的创新和可能性。

乐曲硬件演奏电路的VHDL设计报告

乐曲硬件演奏电路的VHDL设计报告

EDA课程设计题目:乐曲硬件演奏电路的VHDL设计专业:通信工程班级:通信071姓名:葛鹏学号:0710920101一、设计题目:乐曲硬件演奏电路的VHDL设计二、设计目标:了解一般乐曲演奏电路设计设计方法,学习VHDL语言,熟悉EDA设计软件QuartusII和MAX+plusⅡ,加强独立完成电子设计的能力。

(1)能够播放“梁祝”乐曲。

(2)能够通过LED显示音阶。

(3)(选作)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。

主芯片型号为FLEX10K10LC84-4三、实验电路的工作原理:(演奏电路逻辑图)组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能够连续演奏所需的两个基本要素,设计演奏电路的关键就是获得这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所希望乐曲的演奏效果。

演奏电路逻辑图有三部分:音乐节拍和音调发生器、简谱码对应的分频预置数查表电路、数控分频与演奏发生器。

演奏电路逻辑图:四、设计内容:1.完成程序的编辑工作。

2.将音乐数据制作成LMP_ROM文件.3.将程序加载到MAX+plusⅡ中进行编译、仿真,并保存仿真结果。

4.到实验室进行下载验证。

引脚进行锁定,然后下载到实验芯片中观察实验结果。

五、仿真结果:1.音乐节拍和音调发生器(NoteTabs.VHD)notetabs模块中设置了一个8位二进制计数器(计数最大值138),作为音符数据ROM的地址发生器。

这个计数器的计数频率选为4Hz,即每一个计数值的停留时间为0.25秒,恰为当全音符设为1秒时,四四拍的4分音符持续时间。

随着notetabs模块中的计数器按4Hz的时钟速率作为加法计数时,即随地址值递增时,音符数据ROM中的音符数据将从ROM中通过ToneIndex[3..0]端口输向ToneTaba模块,“梁祝”乐曲就开始连续自然的演奏起来了。

Notetabs模块仿真图:2.简谱码对应的分频预置数查表电路(ToneTaba.VHD)音符的持续时间需根据乐曲的速度及每个音符的节拍数来确定,tonetaba模块的功能首先是为speakera提供决定所发音符的预置数,而此数在speakera输入口停留的时间即为此音符的节拍值。

乐曲硬件演奏电路设计

乐曲硬件演奏电路设计

目录一:设计任务要求 (2)1:课程设计题目 (2)2:设计分析 (2)3:工程设计总述 (5)二:总体框图 (8)三:选择器件 (9)四:功能模块:(①模块图形、②程序、③功能仿真图、④功能仿真图分析) (9)1:分频器(div) (9)2:计数器(notetabs) (11)3:选择模块(choice) (12)4:数据翻译模块(tontaba) (14)5:译码器模块(deled) (16)6:数控分频器模块(speakera) (17)7:乐谱数据ROM(四个模块) (19)8:地址线的选择 (27)9:led数码管位选功能的解决方案 (28)五:总体设计电路图................................................28六:六:结束语 (29)乐曲硬件演奏电路设计一、设计任务要求1、课程设计题目设计一个乐曲演奏电路,能够自动播放编写好的音乐。

要求将音乐通过实验箱上的喇叭播放出来,用发光二级管显示出乐曲的节拍。

(附加功能:本设计在题目所要求的功能全部实现的基础之上又添加了许多附加功能,所有的功能将在“工程设计总述”中阐明,特此声明。

)2、设计分析(1)音乐硬件演奏电路基本原理硬件电路的发声原理,声音的频谱范围约在几十到几千赫兹,若能利用程序来控制FPGA芯片某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。

乐曲中的每一音符对应着一个确定的频率,要想FPGA发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。

乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频,就可以在扬声器上连续地发出各个音符的音调。

而要准确地演奏出一首乐曲,仅仅让扬声器能够发生是不够的,还必须准确地控制乐曲的节奏,即乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。

(2)音符频率的获得多个不同频率的信号可通过对某个基准频率进行分频器获得。

乐曲硬件演奏电路设计-verilog

乐曲硬件演奏电路设计-verilog

EDA技术及创新实践(设计报告)题目: 乐曲硬件演奏电路设计姓名: 漩涡鸣人学院: 数理与信息工程学院专业: 电子科学与技术班级: 112 学号: 1886****20 指导教师: 汤大智2014年6 月一、设计目的及要求实验目的:1、理解音乐演奏的原理及分频器的原理与使用。

2、了解怎样控制音调的高低变化和音长,从而完成乐曲的自动循环演奏。

3、培养自主学习、正确分析和解决问题的能力。

要求:利用分频器设计硬件乐曲演奏电路;能通过数码管显示动态效果;通过一个开关实现两首乐曲的切换;在音乐播放的同时,会有led流水灯的闪烁。

二、设计原理乐曲演奏的原理是这样的:组成乐曲的每个音符的频率值(音调)及其持续的时间(音长)是乐曲能连续演奏所需的两个基本数据,因此只要控制输出到扬声器的激励信号频率的高低和持续的时间,就可以使扬声器发出连续的乐曲声。

图1、顶层模块图其中,乐谱产生电路用来控制音乐的音调和音长。

控制音调通过设置计数器的预置数来实现,预置不同的数值可以使计数器产生不同频率的信号,从而产生不同的音调。

控制音长是通过控制计数器预置数的停留时间来实现的,预置数停留的时间越长,则该音符演奏的时间越长。

每个音符的演奏时间都是0.25s的整数倍,对于节拍较长的音符,如2分音符,在记谱时将该音名连续记录两次即可。

1、音调的控制频率的高低决定了音调的高低。

音乐的十二平均率规定:每两个8度音(如简谱中的中音1与高音1)之间的频率相差一倍。

在两个8度音之间,又可分为12个半音,每两个半音的频率比为12√2。

另外,音名A(简谱中的低音6)的频率为440Hz,音名B到C之间、E到F之间为半音,其余为全音[4]。

由此可以计算出简谱中从低音1至高音1之间每个音名对应的频率,如表2所示:表2简谱中的音名与频率的关系所有不同频率的信号都是从同一个基准频率分频得到的。

由于音阶频率多为非整数,而分频系数又不能为小数,故必须将计算得到的分频数四舍五入取整。

乐曲硬件演奏电路设计

乐曲硬件演奏电路设计

乐曲硬件演奏电路设计一、设计任务与要求设计目的:利用数控分频器设计硬件演奏电路。

设计内容:学习课本定制音符数据ROM“music”。

填入新的乐曲。

争取可以在一个ROM装上多首歌曲,可手动或自动选择歌曲。

设计要求:用仿真波形和电路原理图,详细叙述硬件电子琴的工作原理及其VHDL文件中相关语句功能,叙述硬件实现情况。

二、总体框图设计思路:在《可编程逻辑设计》课程中,已经学习过数控分频器设计,即输入不同的预置数即可发出不同频率的声音。

由此,可以以此为设计基础。

设计一功能模块,能够将乐曲中的音符逐一以对应的频率以预置数的形式置入数控分频计中,即可利用该数控分频计产生不同的声音,演奏出设定好的音乐。

ROM中的音乐数据文件刚可由编辑好的音符填入MIF文件中再定制LPM_ROM 将音符数据加载入ROM中,并设计程序在运行时自动读取ROM中的文件并置入数控分频器中。

当采用四四拍曲子时,每节拍持续时间为0.5秒。

置入数控分频器的速度也应与此同步或一致,避免音乐过快或过快慢而失真。

我给出的C调音阶频率表,各频率对应的预置数就与数控分频推动蜂鸣器发出声音的频率对应。

在编写数控分频器时,不仅要考虑预置数的输入方式,还要考虑输入的速度,以及驱动蜂鸣器发声的频率。

为了在扩展能够在ROM中同时存放多首歌曲,并可以手动选择需要的歌曲,故可将ROM中分若干段存放不同曲子。

当手动选择歌曲时,可根据输入的选择信号,读出ROM中对应地址的曲子,并将ROM中的曲子完整的播放出来。

为了显示高、中、低三种音调,可在音符>>预置数的译码过程中,同时译出高、中、低三种音阶的信号,并利用三个LED灯输出信号。

同时,也可利用该译码模块,将当前演奏的音符简谱表达出来。

下面给出C调音节频率表:三、功能模块-1、音乐数据ROM模块:该模块为音乐曲谱的存放文件。

其利用LPM_ROM宏模块将共设定512个音符,每个音符宽度为5位,可存放高、中、低三阶21个音符的数据。

EDA课程设计——乐曲硬件演奏电路

EDA课程设计——乐曲硬件演奏电路

《电子技术基础Ⅲ》项目设计报告课程名称任课教师设计题目乐曲硬件演奏电路设计班级姓名学号成绩日期一、题目分析1.设计要求利用FPGA,设计一硬件乐曲硬件演奏电路,能够利用硬件电路,自动播放音乐。

2.题目分析乐曲是由一连串的音符组成,而每个音符的发音频率值及其持续时间是乐曲能连续演奏所需的两个基本因素。

所以,设计音乐发生电路,需要得到相应音符的频率值,及其持续时间。

如果能够得到这两个基本元素,利用程序来控制FPGA 某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。

所以,获取这两个要素是本实验的关键。

(1)频率值频率的高低决定了音调的高低。

由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱,依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。

简谱的音名与频率的关系如下表:表1 简谱中的音名与频率的关系(2)时长这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz 的时钟频率即可产生四分音符的时长。

系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒,如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐曲文件的时候就会连续读到三次,也就会发三个0.25秒的音长,这时我们听上去就会持续了三拍的时间,这样就可以控制音乐的音长了。

二、设计方案1.顶层实体描述音乐播放器,是能够演奏一曲完整的乐曲,在外部时钟的作用下,产生相应频率的方波信号,即在乐曲中对应相应的音符。

并且信号要持续一定的时间,即对应乐曲中的节拍。

得到了音调和节拍后,就可以组成相应的乐曲了。

2.模块划分采用“自顶向下”的设计方法,将音乐播放器模块主要划分为地址发生模块、数控分频模块、music 模块和预置数模块。

(1)地址发生模块:增地址计数器,用于产生地址数。

乐曲硬件演奏电路的EDA设计 曹圣洁

乐曲硬件演奏电路的EDA设计 曹圣洁

乐曲硬件演奏电路的EDA设计摘要可编程逻辑器件(简称PLD)是一种由用户编程来实现某种逻辑功能的新型逻辑器件,主要包括FPGA和CPLD两大类。

FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。

FPGA/CPLD最明显的特点是高集成度、高速度和高可靠性,其时钟延时可小至纳秒级,集合器并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。

在高可靠领域,如果设计的得当,将不会存在类似于MCU的复位不可靠和PC的可能跑飞等问题。

FPGA/CPLD的高可靠性还表现在几乎可将整个系统下载于同一芯片中,实现所谓的片上系统,从而大大的缩小的体积,易于管理和屏蔽。

硬件的乐曲演奏电路是用FPGA将50M 时钟进行分频,两路的分频分别产生节拍和音调。

随着时间的推移节拍计数信号会记录当前在乐谱中的位置,根据当前的位置会将音调控制的信号数组置成乐谱上的音调,挂载信号数组上面的音调发生器会产生相应的音调,其中为增加音乐的效果信号数组上挂载了一个8个LED控制器,不同的音调灯的亮灭的组合会各不同会产生看似随机的等组合实际灯亮的组合根据音调的确定是固定的。

此现场可编程门阵列的程序是演奏生日快乐的乐曲的。

下面会有他的乐谱。

关键词FPGA,CPLD, 乐曲演奏电路,音乐节拍发生器,音乐译码电路,可编程逻辑器件分频器。

- I -沈阳工程学院课程设计(论文)AbstractProgrammable logic devices (hereinafter referred to as PLD) is a kind of programming by the user to achieve a certain logic function of the new logic devices, including two kinds of FPGA and CPLD. FPGA and CPLD were field programmable gate array and complex programmable logic device referred to as. FPGA/CPLD the most obvious characteristic is high integration, high speed and high reliability, the clock time delay can be small to nanosecond level, collector parallel work way, in the ultra high speed applications and real-time measurement and control aspects has a very broad application prospects. In the field of high reliability, if the design is proper, there will not be similar to the MCU reset is not reliable and PC can be run problems such as fly. FPGA/CPLD high reliability as well as in almost the whole system can be download from the same chip, so as to realize the so-called chip system, thus greatly reduced volume, easy to management and shielding. The music of hardware circuit is playing with FPGA will fifty m clock for crossover, two-way crossover respectively produce rhythm and tone. With the passage of time beat count signal will record the current position of the music, according to the current position will tone control signal array set to music on the tones, mount signal array the tone generator can produce corresponding tones, the music to increase the effect of array signal on mount a eight LED controller, different tones lamp light out of the different combination will can produce a seemingly random combined the actual combination of light according to determine the tone is fixed. The field programmable gate array program is playing the music of happy birthday. Below will have his music.Keywords FPGA, FPGA, CPLD, music performance, music beat generator circuit, music decoding circuit, programmable logic devices frequency divider.- II -乐曲硬件演奏电路的EDA设计目录摘要 (I)ABSTRACT (II)1引言 (1)1.1EDA技术的发展 (1)1.2硬件描述语言的产生 (1)1.3VHDL语言特点 (1)1.4EDA的发展趋势 (1)2乐曲演奏系统设计原理分析 (3)2.1乐曲演奏基本要求 (3)2.2乐曲演奏原理 (3)3系统硬件设计 (6)3.1现场可编程门阵列(FPGA) (6)3.1.1 FPGA的基本结构 (6)3.1.2 Altera公司的FPGA (6)3.2FLEX系列的结构特点 (7)3.2.1 概述 (7)3.2.1 FLEX的特点 (7)4乐曲硬件演奏电路的VHDL实现 (8)4.1音乐节拍的生成 (8)4.2乐谱的生成 (8)4.3音调的生成 (10)4.4乐曲硬件演奏电路完整硬件电路程序设计和仿真 (11)总结 (16)致谢 (17)参考文献 (18)- III -乐曲硬件演奏电路的EDA设计1引言1.1EDA技术的发展人类社会已经进入到高度发达的信息化社会,信息社会的发展离不开电子产的进步。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

江西理工大学应用科学学院SOPC/EDA综合课程设计报告设计题目:硬件音乐演奏电路设计者:徐达健学号:08040108131班级:测控081指导老师:王忠锋完成时间:2011年01月07日设计报告综合测试总评格式(10)内容(40)图表(10)答辩(20)平时(20)目录一:设计原理 (3)二:总体框图 (5)三:选择器件 (7)四:功能模块: (8)1:NoteTabs模块(程序仿真图) (8)2:ToneTaba模块(程序仿真图) (11)3:Speakera模块(程序仿真图) (12)五:总体设计电路图 (16)1.顶层设计的电路原理图(Songer模块) (16)2.Songer模块的程序 (16)3.Songer顶层文件的仿真图形 (17)4、锁定引脚 (17)5、下载验证 (18)六:结束语 (19)七:心得体会 (20)八:参考文献 (21)一、设计原理1.音乐演奏电路原理这种频率的振荡可以用不同音符的代码所控制,从而分出不同频率的振荡,它采用编程方式将各种音符的代码预先存的计算机的内存中,利用软件和一定硬件电路配合将存放的乐曲代码有节地进行演奏,产生电子音乐。

乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。

图1.1 音乐演奏电路原理图2.音符频率的获得多本文中选取750KHz的基准频率。

由于现有的高频时钟脉冲信号的频率为12MHz,故需先对其进行16分频,才能获得750KHz的基准频率。

对基准频率分频后的输出信号是一些脉宽极窄的尖脉冲信号(占空比=1/分频系数)。

为提高输出信号的驱动能力,以使扬声器有足够的功率发音,需要再通过一个分频器将原来的分频器的输出脉冲均衡为对称方波(占空比=1/2),但这时的频率将是原来的1/2。

表1中各音符的分频系数就是从750KHz 的基准频率二分频得到的375KHz频率基础上计算得到的。

由于最大分频系数是1274,故分频器采用11位二进制计数器能满足要求,乐曲中的休止符,只要将分频系数设为0,即初始值=2048-1=2047,此时扬声器不会发声。

3.乐曲节奏的控制本文中的梁祝乐曲,最小的节拍为1/4拍,若将1拍的时间定为1秒,则只需要提供一个4Hz的时钟频率即可产生1/4拍的时长(0.25秒),对于其它占用时间较长的节拍(必为1/4拍的整数倍)则只需要将该音符连续输出相应的次数即可。

计数时钟信号作为输出音符快慢的控制信号,时钟快时输出节拍速度就快,演奏的速度也就快,时钟慢时输出节拍的速度就慢,演奏的速度自然降低。

4.乐谱发生器本文将乐谱中的音符数据存储在NoteTABS中,如“梁祝”乐曲中的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒的时间,相应地,音符“3”就要在NoteTABS 中连续的四个地址上都存储。

当一个4Hz的时钟来时,相应地存入NoteTABS中一个音符数据。

二、总体框图图2.1总体框图该主系统由三个模块:tonetaba.vhd、notetabs.vhd、speakera.vhd组成。

①首先定制notetabs.vhd、模块中的音符数据。

②根据给出的乘法器逻辑原理图及其模块的VHDL描述在Quartus II完成设计。

③完成编译,综合,仿真,管教分配,编程下载。

1.对于模块NoteTabs的功能描述:该模块的功能就是定义音符数据随着该模块中的计数器控制时钟频率速率作加法计数时,即地址值递增时,音符数据中的音符数据。

将从中通过ToneIndex[4..0]端口输向ToneT aba模块,演奏《梁祝》。

在该模块中设置了一个8位二进制计数器(计数最大值为256)。

这个计数器的计数频率为4Hz,即每一计数值的停留时间为0.25秒,恰为当全音符设为1秒时,四四拍的4分音符持续时间。

2.对于模块ToneTaba,是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,每一音符的停留时间由音乐节拍和音调发生器模块NoteT abs的CLK的输入频率决定,这些值由对应于ToneTaba的5位输入值Index[4..0]确定,最多有32种可选值。

输向ToneT aba中Index[4..0]的值ToneIndex[4..0]的输出值与持续的时间由模块NoteTabs决定。

3.模块Speakera是一个数控分频器,音符的频率可由此模块获得。

由CLK端输入一个具有较高频率的信号,通过Speakera分频后由SPKOUT输出。

由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号。

为了利用驱动扬声器,需加一个D触发器以均衡其占空比,频率将是原来的1/2。

Speakera对CLK输入信号的分频比由预置数Tone决定。

SPKOUT的输出频率将决定每一音符的音调。

三、选择器件模块NoteTabs,模块ToneT aba,模块Speakera,装有Quartus II软件的电脑。

四、功能模块1.对于模块NoteTabs图4 .1模块NoteT abs我们可以直接将音符数据输送到NoteT abs模块中,它首先是编写音符数据文件,将乐谱中相应的音符存放在一个连续的地址上。

因为1拍的时间定为1秒,提供的是4Hz的时钟频率(即1/4拍的整数倍),则需将这个音符存储在相应次数的连续几个地址上。

随着NoteTabs中的计数器按时钟频率速度作加法计数时,音符数据将从中通过ToneIndex 端口输向ToneT aba模块。

NoteTabs中的音符数据模块程序为LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY NoteT abs ISPORT(clk1 : IN STD_LOGIC;--4HZ;ToneIndex : OUT INTEGER RANGE 0 TO 15);END;ARCHITECTURE one OF NoteTabs ISSIGNAL CounT er : INTEGER RANGE 0 TO 138;BEGINCNT8 : PROCESS(clk1, Counter)BEGINIF Counter = 138 THENCounter<=0;elsif (clk1'EVENT AND clk1 ='1') THENCounter<=Counter+1;END IF;END PROCESS;Search : PROCESS(Counter)BEGINCASE Counter ISWHEN 00=>ToneIndex<=3;WHEN 01=>ToneIndex<=3;WHEN 02=>ToneIndex<=3;WHEN 03=>ToneIndex<=3;WHEN 04=>ToneIndex<=5;WHEN 05=>ToneIndex<=5;WHEN 06=>ToneIndex<=5;WHEN 07=>ToneIndex<=6;WHEN 08=>ToneIndex<=8;WHEN 09=>ToneIndex<=8;WHEN 10=>ToneIndex<=8;WHEN 11=>ToneIndex<=9;WHEN 12=>ToneIndex<=6;WHEN 13=>ToneIndex<=8;WHEN 14=>ToneIndex<=5;WHEN 15=>ToneIndex<=5;WHEN 16=>ToneIndex<=12;WHEN 17=>ToneIndex<=12;WHEN 18=>ToneIndex<=12;WHEN 19=>ToneIndex<=15;WHEN 20=>ToneIndex<=13;WHEN 21=>ToneIndex<=12;WHEN 22=>ToneIndex<=10;WHEN 23=>ToneIndex<=12;WHEN 24=>ToneIndex<=9;WHEN 25=>ToneIndex<=9;WHEN 26=>ToneIndex<=9;WHEN 27=>ToneIndex<=9;WHEN 28=>ToneIndex<=9;WHEN 29=>ToneIndex<=9;WHEN 30=>ToneIndex<=9;WHEN 31=>ToneIndex<=0;WHEN 32=>ToneIndex<=9;WHEN 33=>ToneIndex<=9;WHEN 34=>ToneIndex<=9;WHEN 35=>ToneIndex<=10;WHEN 36=>ToneIndex<=7;WHEN 37=>ToneIndex<=7;WHEN 38=>ToneIndex<=6;WHEN 39=>ToneIndex<=6;WHEN 40=>ToneIndex<=5;WHEN 41=>ToneIndex<=5;WHEN 44=>ToneIndex<=8;WHEN 45=>ToneIndex<=8; WHEN 46=>ToneIndex<=9;WHEN 47=>ToneIndex<=9; WHEN 48=>ToneIndex<=3;WHEN 49=>ToneIndex<=3; WHEN 50=>ToneIndex<=8;WHEN 51=>ToneIndex<=8; WHEN 52=>ToneIndex<=6;WHEN 53=>ToneIndex<=5; WHEN 54=>ToneIndex<=6;WHEN 55=>ToneIndex<=8; WHEN 56=>ToneIndex<=5;WHEN 57=>ToneIndex<=5; WHEN 58=>ToneIndex<=5;WHEN 59=>ToneIndex<=5; WHEN 60=>ToneIndex<=5;WHEN 61=>ToneIndex<=5; WHEN 62=>ToneIndex<=5;WHEN 63=>ToneIndex<=5; WHEN 64=>ToneIndex<=10;WHEN 65=>ToneIndex<=10; WHEN 66=>ToneIndex<=10;WHEN 67=>ToneIndex<=12; WHEN 68=>ToneIndex<=7;WHEN 69=>ToneIndex<=7; WHEN 70=>ToneIndex<=9;WHEN 71=>ToneIndex<=9; WHEN 72=>ToneIndex<=6;WHEN 73=>ToneIndex<=8; WHEN 74=>ToneIndex<=5;WHEN 75=>ToneIndex<=5; WHEN 76=>ToneIndex<=5;WHEN 77=>ToneIndex<=5; WHEN 78=>ToneIndex<=5;WHEN 79=>ToneIndex<=5; WHEN 80=>ToneIndex<=3;WHEN 81=>ToneIndex<=5; WHEN 82=>ToneIndex<=3;WHEN 83=>ToneIndex<=3; WHEN 84=>ToneIndex<=5;WHEN 85=>ToneIndex<=6; WHEN 86=>ToneIndex<=7;WHEN 87=>ToneIndex<=9; WHEN 88=>ToneIndex<=6;WHEN 89=>ToneIndex<=6; WHEN 90=>ToneIndex<=6;WHEN 91=>ToneIndex<=6; WHEN 92=>ToneIndex<=6;WHEN 93=>ToneIndex<=6; WHEN 94=>ToneIndex<=5;WHEN 95=>ToneIndex<=6; WHEN 96=>ToneIndex<=8;WHEN 97=>ToneIndex<=8; WHEN 98=>ToneIndex<=8;WHEN 99=>ToneIndex<=9; WHEN 100=>ToneIndex<=12;WHEN 101=>ToneIndex<=12; WHEN 102=>ToneIndex<=12;WHEN 103=>ToneIndex<=10; WHEN 104=>ToneIndex<=9;WHEN 105=>ToneIndex<=9; WHEN 106=>ToneIndex<=10;WHEN 107=>ToneIndex<=9; WHEN 108=>ToneIndex<=8;WHEN 109=>ToneIndex<=8;WHEN 112=>ToneIndex<=3;WHEN 113=>ToneIndex<=3;WHEN 114=>ToneIndex<=3;WHEN 115=>ToneIndex<=3;WHEN 116=>ToneIndex<=8;WHEN 117=>ToneIndex<=8;WHEN 118=>ToneIndex<=8;WHEN 119=>ToneIndex<=8;WHEN 120=>ToneIndex<=6;WHEN 121=>ToneIndex<=8;WHEN 122=>ToneIndex<=6;WHEN 123=>ToneIndex<=5;WHEN 124=>ToneIndex<=3;WHEN 125=>ToneIndex<=5;WHEN 126=>ToneIndex<=6;WHEN 127=>ToneIndex<=8;WHEN 128=>ToneIndex<=5;WHEN 129=>ToneIndex<=5;WHEN 130=>ToneIndex<=5;WHEN 131=>ToneIndex<=5;WHEN 132=>ToneIndex<=5;WHEN 133=>ToneIndex<=5;WHEN 134=>ToneIndex<=5;WHEN 135=>ToneIndex<=5;WHEN 136=>ToneIndex<=0;WHEN 137=>ToneIndex<=0;WHEN 138=>ToneIndex<=0;WHEN OTHERS=>T oneIndex<=0;END CASE;END PROCESS;END;该模块的波形图为图4.2 模块NoteTabs的波形图2.对于模块ToneTaba图4.3 模块ToneTabaToneTaba是乐曲简谱码对应的分频预置数查表电路。

相关文档
最新文档