数字逻辑课程设计 数字电子钟

合集下载

数电课程设计--数字钟的设计与制作

数电课程设计--数字钟的设计与制作

综述………………………………………………………………………1
1、方案设计与选择……………………………………………………1
2、原理设计和功能描述………………………………………………2
2.1数字计时器的设计思想……………………………………………2
2.3单元电路的设计……………………………………………………3
(二)具体要求:
1.画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。
2.设计各个功能模块的电路图,加上原理说明。
3.选择合适的元器件,设计、选择合适的输入信号和输出方式,在确保电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。在线路板上接线验证、调试各个功能模块的电路。
2.3.1振荡器电路………………………………………………………3
2.3.2时间计数器电路…………………………………………………3
2.3.3数码管……………………………………………………………4
2.3.4校时控制电路……………………………………………………4
2.3.5数字电子钟原理效果图…………………………………………5
时分秒计数器的选择。时分秒计数器的选择同样有多种,74LS160和74LS161, 74LS190和74LS191等等都可以,考虑到其简单易用和作为课本上重点内容在此我们选择的是
2.导线/电阻/电容/石英晶体等
四.设计流程:
1.布置任务及查资料。
2.初步确定设计方案并进行必要计算,画出总体设计框图。
3.标出各个模块之间互相联系,时钟信号传输路径等,画出总体原理图,芯片连接总图。
4.数字系统的制作与调试后,功能验证。

数字逻辑电路设计课程设计之数字电子钟

数字逻辑电路设计课程设计之数字电子钟

课程名称:数字电路逻辑设计课程设计设计项目:数字电子钟学生姓名:同组人:高爽一.设计目的1.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2.进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3.提高电路布局﹑布线及检查和排除故障的能力;4.培养书写综合实验报告的能力。

二 . 设计要求1.设计一个具有时、分、秒显示的电子钟(23小时59分59秒);2.应该具有手动校时校分的功能;3.应该具有整点报时功能:从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次;4.使用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试;5.画出框图和逻辑电路图,写出设计、实验总结报告。

三 . 设计原理1.数字电子钟基本原理数字电子钟的逻辑框图如下图所示。

它由555集成芯片构成的振荡电路、分频器、计数器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

2.数字电子钟单元电路设计时钟脉冲已经由实验箱提供,实验箱提供的是秒脉冲;显示电路已经由实验箱提供。

(1)计数器电路A.秒个位计数器,分个位计数器,时个位计数器均是十进制计数器;B.秒十位计数器,分十位计数器均是六进制计数器;C.时十位计数器为二进制计数器因此,选择74LS90可以实现二-五-十进制异步计数器芯片实现上述计数功能。

时位计数器分位计数器秒位计数器(2)手动校时电路当数字钟走时出现误差时,需要校正时间。

校时电路实现对“时”“分”“秒”的校准。

在电路中设有正常计时和校对位置。

本实验实现“时”“分”的校对。

对校时的要求是:在小时校正时不影响分和秒的正常计数;在分钟校正时不影响秒和小时的正常计数。

手动校时电路图(3)整点报时电路整点报时功能:即从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次。

数字电子钟的课程设计

数字电子钟的课程设计

数字电子钟的课程设计一、课程目标知识目标:1. 学生能理解数字电子时钟的基本原理,掌握计时器的基础知识。

2. 学生能描述数字电子时钟的组成部分,包括时钟电路、计数器、显示装置等。

3. 学生能解释数字电子时钟中二进制数与十进制数之间的转换关系。

技能目标:1. 学生能够运用所学知识,设计简单的数字电子时钟电路。

2. 学生能够通过实验操作,完成数字电子时钟的组装和调试。

3. 学生能够利用计数器等电子元件解决实际问题,培养动手操作能力和团队协作能力。

情感态度价值观目标:1. 学生在课程学习中,培养对电子技术的兴趣,激发创新精神。

2. 学生通过实践操作,体会团队合作的重要性,增强沟通与协作能力。

3. 学生能够认识到科技发展对社会生活的积极影响,提高社会责任感和使命感。

分析课程性质、学生特点和教学要求,本课程目标注重理论与实践相结合,以培养学生的动手操作能力和创新能力为核心。

课程目标具体、可衡量,便于后续教学设计和评估。

通过本课程的学习,学生能够掌握数字电子时钟的基本原理和组装技巧,提高解决实际问题的能力。

同时,注重培养学生对科技的兴趣和情感态度,为学生的全面发展奠定基础。

二、教学内容1. 数字电子时钟的基本原理- 时钟电路的工作原理- 计数器的作用与分类- 显示装置的原理与种类2. 数字电子时钟的组成与功能- 时钟芯片:时钟振荡器、分频器等- 计数器:二进制计数器、十进制计数器等- 显示装置:LED数码管、LCD液晶显示屏等3. 数字电子时钟的制作与调试- 电路图的绘制与解读- 元器件的选择与安装- 电路的调试与故障排除4. 二进制与十进制数的转换- 二进制数与十进制数的对应关系- 转换方法:除2取余法、位权展开法等5. 实践操作与团队协作- 分组合作,设计并组装数字电子时钟- 交流展示,分享制作过程中的经验与问题- 评价与反馈,提高制作质量与团队协作能力教学内容依据课程目标制定,注重科学性和系统性。

教学大纲明确,按照以下进度安排:第一课时:数字电子时钟的基本原理第二课时:数字电子时钟的组成与功能第三课时:二进制与十进制数的转换第四课时:数字电子时钟的制作与调试(实践操作)第五课时:实践操作与团队协作(交流展示、评价与反馈)教学内容与课本紧密关联,确保学生能够掌握课程知识,培养实际操作能力。

北邮数字逻辑课程设计实验报告(电子钟显示)

北邮数字逻辑课程设计实验报告(电子钟显示)

实验四:电子钟显示一、实验目的(1)掌握较复杂的逻辑设计和调试。

(2)学习用原理图+VHDL语言设计逻辑电路。

(3)学习数字电路模块层次设计。

(4)掌握ispLEVER 软件的使用方法。

(5)掌握ISP 器件的使用。

二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容数字显示电子钟1、任务要求(1)、时钟的“时”要求用两位显示;上、下午用发光管作为标志;(2)、时钟的“分”、“秒”要求各用两位显示;(3)、整个系统要有校时部分(可以手动,也可以自动),校时时不能产生进位;(4)*、系统要有闹钟部分,声音要响5秒(可以是一声一声的响,也可以连续响)。

VHDL源代码:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;----主体部分-ENTITY clock isport(clk,clr,put,clk1 : in std_logic; -- clr 为清零信号,put 为置数脉冲,clk1 为响铃控制时钟choice : in std_logic; --用来选择时钟状态的脉冲信号lighthour : out std_logic_vector(10 downto 0);lightmin : out std_logic_vector(7 downto 0);lightsec : out std_logic_vector(7 downto 0); --输出显示ring : out std_logic); --响铃信号end clock;--60进制计数器模块ARCHITECTURE func of clock iscomponent counter_60port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(3 downto 0);co : out std_logic);end component;--24进制计数器模块component counter_24port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(6 downto 0));end component;signal sec,a:std_logic; --- 2 分频产生1s信号signal l1,l2,l3:std_logic; ---判定对时间三部分修改signal c1,c2:std_logic; ---进位信号signal load:std_logic_vector(1 downto 0);signal temp:integer range 0 to 2499;signal temp1:integer range 0 to 95; --计数信号signal sec_temp:std_logic_vector(7 downto 0);--总进程beginu1 : counter_60 port map (sec,sec,put,clr,l1,sec_temp(3 downto 0),sec_temp(7 downto 4),c1); u2 : counter_60 port map (c1,sec,put,clr,l2,lightmin(3 downto 0),lightmin(7 downto 4),c2);u3 : counter_24 port map (c2,sec,put,clr,l3,lighthour(3 downto 0),lighthour(10 downto 4)); lightsec(7 downto 0)<=sec_temp(7 downto 0);--状态转换process (choice)beginif (choice'event and choice='1') thencase load iswhen "00" => l1<='0'; --非修改状态l2<='0';l3<='0';load<="01";when "01" => l1<='0'; --此状态下对小时进行修改l2<='0';l3<='1';load<="10";when "10" => l1<='0'; --此状态下对分钟进行修改l2<='1';l3<='0';load<="11";when others => l1<='1'; --此状态下对秒进行修改l2<='0';l3<='0';load<="00";end case;end if;end process;--计数进程process(clk)beginif (clk'event and clk='1') then --分频if (temp=2499) thentemp <= 0;sec<=not sec;elsetemp <= temp+1;end if;end if;end process;--响铃进程process(clk1)beginif(clk1'event and clk1='1') thenif (temp1=95) thentemp1<=0;a<=not a;elsetemp1<=temp1+1;end if;end if;end process;ring<=a when (c2='1' and sec_temp<5 and sec='1') else --5s整点响铃'0';end func;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity counter_60 isport (clock : in std_logic; --计数信号,即低位的进位信号或时钟脉冲信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic; --调表置数信号clr : in std_logic; --清零load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(3 downto 0); --计数器的十位co : out std_logic );end counter_60;if(load=1 ) --防止脉冲产生进位co_ temp<=’0’;architecture func of counter_60 issignal s1_temp: std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(3 downto 0);signal clk,co_temp : std_logic;beginclk<=clock when load='0' elseputust;process (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "0000";elsif (clk'event and clk='1')then --进位判断if (s1_temp=9) thens1_temp <= "0000";if (s10_temp=5) thens10_temp <= "0000";co_temp<='1';elseco_temp<='0';s10_temp <= s10_temp+1;end if;elseco_temp<='0';s1_temp <= s1_temp+1;end if;end process;s1 <= s1_temp when (clk_1s='1'or load='0') else"1111";s10 <= s10_temp when (clk_1s='1' or load='0') else"1111";co <= co_temp when (load='0') else'0';end func;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;--24进制计数器entity counter_24 isport(clock : in std_logic; --计数信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic;clr : in std_logic; --清零信号load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(6 downto 0)); --计数器的十位end counter_24;architecture func of counter_24 issignal s1_temp : std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(1 downto 0);signal clk : std_logic;beginclk<=clock when load='0' elseprocess (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "00";elsif (clk'event and clk='1') thenif (s1_temp=3 and s10_temp=2) then s1_temp <= "0000";s10_temp <= "00";elsif (s1_temp=9) thens1_temp<="0000";s10_temp<=s10_temp+1;elses1_temp <= s1_temp+1;end if;end if;end process;--显示进程process(s10_temp)beginif (clk_1s='1' or load='0') thencase s10_temp iswhen "00" => s10<="1111110";when "01" => s10<="0110000";when "10" => s10<="1101101";when others => null;end case;elses10<="0000000";end if;end process;s1 <= s1_temp when (clk_1s='1' or load='0') else"1111";end func;四、实验小结:注意当时钟处于被修改状态时,即对时、分、秒的值进行修改时,不应产生进位,产生很多莫名其妙的错误,如修改后有进位(分钟为00)时,或者自行到整点响铃后,再次给脉冲会进位的情况。

课程设计 数字电子钟

课程设计  数字电子钟

①校时单元电路
Y A C AB
②校时电路
Y AC AB AC AB
③整体校时电路
R要有具体数值
校时S接A否则断开
课程设计说明书的格式: 封页(课程名称、设计题目、专业班级、 学生姓名、指导教师) 目录:①设计原理(或要求) (包括:如何显示、显 示几位、如何校时等。)、②介绍优点(计时准确,误 差小等)特点(有报时等)主要技术参数(电源电压、 ±误差);③电路的流程方框图(有几部分电路构成) ④工作原理及元件选择的过程(各部分电路的原理叙 述);⑤元件明细表(包括芯片参数、型号、个数、 电阻、电容等); ⑥实验器材、⑦调试过程、⑧收获 与体会。 画出整体的连接图(不允许打印) 课程设计报告需各班统一从网上下载打印然后装订。
需注意:校秒时,分不能进位;校分时, 时不能进位,需用两个开关控制时个位、分个位、 秒个位的EP、ET端。
KA 0
0 1 1
KB 0
1 0 1
EP、ET
EP、ET
EP、ET
(时个位)(分个位) (秒个位) 0 0 0 0 0 1 1 0 0 1
Q 1Q 0 Q2 0 1
00
001/0 101/0
Q1 Q0
01
010/0 000/1
11
100/0
10
011/0
XXX/X XXX/X
Q2 0 1
00
01
11
10
1 1
0 0
0 X
1 X
Q0
n1
Q0
Q 1Q 0 Q2 0 1
00
001/0 101/0
Q1 Q0
01
010/0 000/1

数字逻辑电路课程设计__数字钟1

数字逻辑电路课程设计__数字钟1

数字逻辑课程设计姓名:学号:班级:计102指导老师:2012-05-20数字钟简要说明数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。

计时有24h和12h两种。

当接通电源或数字钟走时出现误差,都需要对数字钟作手动时分秒时间校正。

一。

任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。

2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。

3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。

4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。

5、画出框图和逻辑电路图。

功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。

小时的计时要求为“12翻1”。

2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。

校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。

为使电路简单,这里只进行分和小时的校时。

对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。

校时方式有“快校时”和“慢校时”两种。

“快校时”是通过开关控制,使计数器对1Hz 的校时脉冲计数 。

“慢校时”是用手动产生单脉冲作校时脉冲。

3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。

二、设计方案 电路组成框图:图1 数字钟电路组成框图数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。

其主要功能为计时、校时和报时。

利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。

秒、分、时之间采用同步级联的方式。

开关S1和S2分别是控制分和时的校时。

数字逻辑课程设计实验报告多功能数字钟

数字逻辑课程设计实验报告多功能数字钟

数字逻辑课程设计实验报告——多功能数字钟学院:计算机科学技术与通信工程学院班级:0501姓名:白璐学号:30506030182007年1月24 日多功能数字钟课程设计实验报告一.实验目的:1.学会应用数字系统设计方法进行电路设计;2.进一步提高MAX+plus II 10.0 BASELINE软件的开发应用能力;3.培养学生书写综合实验报告的能力。

二.实验要求:1.根据实验任务,选择最佳设计方案,综合运用MAX+plus II 10.0 BASELINE软件的各种设计方法设计出层次分明、结构清楚、电路优化、VHDL语言描述简洁的完整设计文件。

通过仿真直至下载来验证设计的正确性。

三.实验任务及要求1.能进行正常的时、分、秒计时功能(1)用M6M5做24小时计数器的显示器;(2)用M4M3做60分钟计数器的显示器;(3)用M2M1做60秒钟计数器的显示器。

2.能利用实验系统上的按键实现“校时”、“校分”功能(1)按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后再回00;(2)按下“SB”键时,计时器迅速递增,并按60分钟循环,计满59分钟后再回00;但不向高位进位。

(3)按下“SC”键后,秒清零。

要求按下“SA”和“SB”均不会产生数字跳变(“SA”、“SB”按键是有抖动的,必须地“SA”、“SB”进行消抖处理, 消抖电路用D触发器构成。

原理:一个触发器CP(64HZ)内,屏蔽所有的抖动脉冲)。

(4)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成(可以参考教材P341,例8.2.1 多功能电子钟的设计)。

10进制计数器需自己设计(用VHDL语言,与所做实验74160计数器相同),不能调用系统库。

(5)其他如分频电路、提供报时控制信号、闹时电路等模块用VHDL语言实现。

3.能利用实验板上的扬声器作整点报时(1)当计时到达59’50”、51”、52”、53”、54”、55”、56”、57”、58”、59”鸣叫,鸣叫声频可定为500HZ;(2)到达00分00秒时为最后一声整点报时。

数字逻辑课程设计报告 电子钟

数字逻辑课程设计报告 电子钟

数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。

2.进一步提高maxplusii软件开发应用领域能力。

3.培育学生综合实验能力。

二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。

1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。

2.利用按键实现“校时”、“校分”和“秒清单”功能。

1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。

2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。

3)按下sc,秒清零。

建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。

)3.能够利用实验板上的扬声器并作整点报时功能。

1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。

2)抵达59分后60秒时为最后一声整点报时。

整点报时的频率为1kz。

4.能够惹出时1)闹时的最小时间间隙为10分钟。

2)惹出时长度为1分钟。

3)惹出时声响就是单频的。

5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。

1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。

2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。

3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。

数字电路课程设计数字电子钟

数字电路课程设计数字电子钟

数字电路逻辑设计课程设计学校:学院:专业班级:姓名:学号:同组人:课程设计题目数字电子钟设计要求1. 设计一个具有时、分、秒显示的电子钟(23小时59分59秒)。

2. 该电子钟应具有手动校时、校分得功能。

3. 整点报时。

从59分50秒起,每隔2s发出一次“嘟”的信号。

连续5次,最后1次信号结束即达到正点。

设计方案1. 数字电子钟基本工作原理和整体设计方案数字钟实际上是一个对标准频率进行计数的计数电路。

它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。

数字电子钟是由石英晶体振荡器、分频器、计数器、译码器、显示器和校时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。

秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。

时计数器采用24进制计时器,可实现对一天24小时的计时。

译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。

校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

数字电子钟逻辑框图如下:2. 数字电子钟单元电路设计、参数计算和元件芯片选择(1)石英晶体振荡器和分频器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。

它还具有压电效应,在晶体的某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。

数电课程设计数字电子钟说明书

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计学院:XXXXX专业:XXXXX班级:XXXX姓名:XXXX学号:XXXXX指导老师:XXXXX一、设计目的数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。

此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。

二、设计要求1.显示时,分,秒,用24小时制2.能够进行校时,可以对数字钟进行调时间3.能够正点报时(用555产生断续音频信号);三、设计方案比较方案一、采用中小规模集成电路实现采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。

方案二:EDA技术实现采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。

但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展方案三、单片机编程实现此方案采用单片机编程来设计和控制。

综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。

四、设计过程和说明1.数字电子钟计时和显示功能的实现(1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。

(图)(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)(3)利用秒钟的置数信号(为低电平),取反后作为分钟各位的使能端(EP和ET)的控制信号,以实现分秒之间的进位功能。

同理可以实现分时之间的进位功能(4)显示功能采用Multisim里面的DCD_HEX显示管进行时分秒的显示。

安徽工程大学数字逻辑课程设计-12时制电子钟

安徽工程大学数字逻辑课程设计-12时制电子钟

12时制数字显示电子钟摘要:数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械转动装置等优点,因而得到了广泛的应用,例如人们日常生活中的电子手表,以及车站、码头、机场等公共场所的大型数显电子钟等。

数字钟是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟由主体电路和扩展电路构成,分别完成数字钟的基本功能和扩展功能。

主体电路由石英晶体振荡体,分频器,计数器,译码器,显示器和校时器等组成。

关键词:振荡器,分频器,计数器,显示器,校时器目录1.引言 (4)2.方案论证与选择 (5)3.单元电路的设计和元器件的选择 (8)3.1 T触发器的设计 (8)3.2 十进制电路的设计 (9)3.3 六进制电路的设计 (12)3.4 六十进制电路的设计 (12)3.5 双六十进制电路的设计 (12)3.6 十二进制电路的设计 (13)3.7 时间计数电路的设计 (14)3.8标志灯的设计 (14)3.9 主要元件选择 (14)4. 系统电路总图及原理 (15)结论 (16)致谢 (17)参考文献 (18)附录:系统电路原理图引言数字电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用.在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题,电子电路设计,组装,调试和编写总结报告等实践内容.通过课程设计要实现以下两个目标:第一,让学生初步掌握电子线路的试验,设计方法.即学生根据设计要求和性能参数,查阅文献资料,收集,分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础.毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析,定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础.。

数字逻辑课设报告数字电子钟

数字逻辑课设报告数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。

1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。

1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。

1.4提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。

2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。

2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。

要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。

2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。

2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。

利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

2.5学会撰写综合实验总结报告。

2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。

要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。

2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。

二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。

元器件选择74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块 GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。

数字逻辑课程设计数字电子钟完整版

数字逻辑课程设计数字电子钟完整版

数字逻辑课程设计数字电子钟HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。

注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。

培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。

提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。

2.要求能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。

根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。

要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。

进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。

学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。

利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。

通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。

要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。

在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。

二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。

元器件选择74LS162:4块 与非门74LS00:2块 共阳数码管LED 74LS161:2块 GAL16V8:2块 晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器 74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。

《数字逻辑》数字时钟课程设计报告

《数字逻辑》数字时钟课程设计报告

《数字逻辑》课程设计报告题目数字时钟学院(部)信息工程学院专业计算机科学与技术班级计算机一班学生姓名学号201324026 月29 日至7 月 3 日共1 周指导教师(签字)题目一.摘要:钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。

诸如定时自动报有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。

所现实的意义。

本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。

二.关键词:校时计时报时分频石英晶体振荡器三.技术要求:1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2、有计时功能,时钟不会在计时的时候停下。

计时范围是0~99秒;3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟;4、要在七段显示器(共阴极6片)显示时间;5、电子钟要准确正常地工作。

四、方案论证与选择:钟表的是长期使用的器件,误差容易积累由此增大。

所以要求分频器产生的秒脉冲要极其准确。

而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。

秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。

由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。

由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。

在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。

石英晶体我们选择的是振荡频率为2ⁿ(我们找到的最小振荡频率为n=15),与四个74LS161组成的计数器来分频,使振荡频率变为1HZ,这样秒脉冲就产生了。

数字逻辑课程设计 数字钟的设计 包括完整电路图

数字逻辑课程设计  数字钟的设计 包括完整电路图

数字逻辑课程设计报告数字钟的设计与制作一、设计任务和基本要求 (1)二、原理分析与电路设计 (1)1、数字钟的构成 (1)2、数字钟的工作原理与电路设计 (1)1)振荡器 (1)2) 计数器 (2)3) 译码显示电路 (3)4) 校时电路 (3)5) 整点报时电路 (6)三、系统元器件的功能和作用 (6)1、74LS90芯片的功能和作用 (6)2、74LS47芯片 (6)3、半导体共阴极数码管 (6)四、整机电路设计 (6)五、系统调试 (6)总结与建议 (6)参考文献 (7)一、设计任务和基本要求:1、秒、分为00~59六十进制计数器。

2、时为00~23二十四进制计数器。

3、周显示从1~7为七进制计数器。

4、可手动校正,且具有整点报时功能。

5、用LED数码管作为显示器件。

总体方案:干电路系统由秒信号发生器、“星期、时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

二、原理分析与电路设计:1、数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路所示为数字钟的总体电路框图。

2、数字钟的工作原理与电路设计1)振荡器:用信号发生器产生1 Hz脉冲信号2)计数器:秒计数器和分计数器都采用两块74LS90接成60进制计数器,如图所示。

时计数器则采用两块74LS90接成24进制计数器,如图所示。

星期计数器采用一块74LS90芯片接成7进制计数器。

如图所示。

图74LS90接成60进制计数器图1.3 74LS90接成24进制计数器图1.4 74LS90接成7进制计数器秒脉冲信号经秒计数器累计,达到60时,向分计数器送出一个分脉冲信号。

分脉冲信号再经过分计数器累计,达到60时,向时计数器送出一个时脉冲信号。

时脉冲信号再经过时计数器累计,达到24时,向星期计数器送出一个星期脉冲信号,星期脉冲信号在经过星期计数器累计,达到7时进行复位归零。

数字电路课程设计--数字电子钟逻辑电路设计

数字电路课程设计--数字电子钟逻辑电路设计

数字电路课程设计报告设计课题:数字电子钟逻辑电路设计班级:13级电子科学与技术姓名:学号:指导老师:设计时间:2016年1月18日~20日学院:物理与信息工程学院内容摘要数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

数字电子时钟是一个对标准频率(1Hz)进行计数的计数电路。

通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。

以16进制芯片74HC161设计成6或10进制来实现时间计数单元的计数功能。

采用CD4511作为显示译码电路。

选择LED数码管作为显示单元电路。

由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。

目录一、内容提要二、设计任务和要求三、总体方案选择的论证四、单元电路的设计、元器件选择和参数计算五、电路图六、组装与调试七、所用元器件八、设计总结九、附录十、参考文献数字电子钟逻辑电路设计一、内容提要本次课程设计的目的是通过设计与实验,了解CD4060、CD4511,74HC74、74HCl61、74HC00、74HC04等芯片的功能和管脚排列,进一步理解设计方案与设计理念,扩展设计思路与视野。

二、设计任务和要求用中小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1Hz 标准秒信号。

2.秒、分为00—59六十进制计数器。

3.时为00—23二十四进制计数器。

4.周显示从1—日为七进制计数器。

5.可手动校正:能分别进行秒、分、时、日的校正。

只要将开关置于手动位置,可分别对秒,分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

三、总体方案的论证系统框图根据设计方案,对照数字电子钟的框图,可分为以下几个模块进行设计:秒脉冲发生器、计数译码、数码显示、校正电路秒脉冲发生器:是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量。

数字逻辑课程设计-电子时钟

数字逻辑课程设计-电子时钟

《数字逻辑》课程设计报告题目:数字电子钟专业:网络工程班级: 14网络工程2班组长:钟伟邦(1414080903202)成员:刘雄锋(1414080903223)惠州学院计算机科学系二○一六年一月七日目录1 设计任务书2 总体方案设计2.1 功能和逻辑需求分析2.2 总体方案设计3 单元模块设计3.1 分秒计数器电路设计3.2 时计数器电路设计3.3总体电路设计(画出总体电路图)4 电路调试与测试4.1 时计数器4.2 分秒计数器5 总结附录(参考资料清单及元器件清单)1 设计任务书10.数字电子钟(*)设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:分别对秒﹑分﹑时进行连续脉冲输入校正(校正时不能输出进位)。

本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动校正的功能。

2 总体方案设计用集成电路设计一台能自动显示时、分、秒的数字电子钟,只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入的校正。

2.1 功能和逻辑需求分析本电路总共分为三个单元模块,分别为时,分,秒,其功能分别用于电子时钟上的时,分,秒的计算,其中,用GAL22V10设计24进制(十位为2进制,个位为4进制)的计数器用于实现时的计算功能(计数从00到23时清零),用GAL16V8D设计60进制的计数器(十位为6进制,个位为10进制)用于实现分和秒的计算功能(计数从00到59时清零并向前进位),当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。

2.2 总体方案设计工作原理:当开关1为高电平时,在时钟信号作用下,时钟正常工作;当开关1为低电平时,时钟停止(状态保持)在2状态下,开关2/3/4为高电平时,时钟进入校正状态(通过时钟信号的连续输入进行校正)3 单元模块设计3.1分、秒计数器电路设计芯片类型:GAL16V8D前后级联系:当秒计数器到达59时,秒计数器的co会输出1,所以,与之连接的时计数器的cen此时输入1(分计数器进入正常工作状态),也就是说在下一个脉冲来临的时候,分计数器上的数字会加1,实现了秒到分的进位。

《数字逻辑》之电子时钟课程设计

《数字逻辑》之电子时钟课程设计
{
D1:=0;
D0:=0;
}
else
{
when(D0==9) then
{
D0:=0;
D1:=D1+LSE
{WHEN (adj # !adj) then{
WHEN (D1==2)&(D0==3) THEN
{
D1:=0;
D0:=0;
}
ELSE
{
WHEN (D0==9) THEN
{
D0:=0;
q3..q0 PIN 19..16 istype 'reg';
D1=[q6..q4];
D0=[q3..q0];
equations
D1.clk=clock;
D0.clk=clock;
WHEN !cen THEN
{
when !adj then
{
D1:=D1; D0:=D0;
}
else
{
when(D1==2)&(D0==3) then
{
D0:=0;
WHEN (D1==5) THEN {D1:=0;}
ELSE D1:=D1+1;
}
ELSE
{D0:=D0+1; D1:=D1;}
}
}
ELSE
{
WHEN (D0==9) THEN
{
D0:=0;
WHEN (D1==5) THEN {co:=1;D1:=0;}
ELSE D1:=D1+1;
}
ELSE
注:电子时钟芯片设计代码见附录一
附录一
秒计数器的代码:
MODULE sec
TITLE 'sec'

数字逻辑课程设计数字时钟课程设计数电课程设计 数字电子技术

数字逻辑课程设计数字时钟课程设计数电课程设计 数字电子技术

数字逻辑课程设计课题名称数字时钟班级姓名指导教师日期 2008-6-24前言自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。

然而随着时间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。

诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。

钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。

在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。

因此,研究数字时钟及扩大其应用,有着非常现实的意义。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路.目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择.目录前言 (2)目录 (2)题目 (2)摘要 (2)关键字 (3)设计要求 (3)正文 (3)1电路结构与原理图 (3)2数码显示器 (3)60进制计数和24进制计数 (4)校时 (7)振荡器 (8)3.计算、仿真的过程和结果 (9)鸣谢 (11)元器件清单 (11)参考文献 (11)总结与体会 (11)教师评语 (12)数字时钟的课程设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计(综合实验)报告题目:第四个实验数字电子钟院系: 计算机科学系班级: 计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的1、1综合实验就是教学中必不可少的重要环节,通过综合实验巩固、深化与扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。

1、2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤与方法。

1、3培养学生获取信息与综合处理信息的能力、文字与语言表达能力以及协作工作能力。

1、4提高学生运用所学的理论知识与技能解决实际问题的能及其基本工程素质。

2、要求2、1 能够根据设计任务与指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。

2、2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。

要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。

2、3进一步熟悉常用电子器件的类型与特性,掌握合理选用的原则。

2、4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。

利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

2、5学会撰写综合实验总结报告。

2、6通过综合实验,逐步形成严肃认真、一丝不苟、实事求就是的工作作风与科学态度,培养学生树立一定的生产观点、经济观点与全局观点。

要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。

2、7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。

二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。

元器件选择74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZGAL20V8:1块TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器 74LS161 4 GAL20V8一、 设计(实验)正文1A 1B 1Y 2A 2B 2Y GNDV CC 4B 4A 4Y 3B 3A 3YBA Y ⋅=YClear ClockA B C D Enable P GNDV CC Carry QA QB QC QDEnable T Load数字钟实际上就是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

晶体振荡器电路给数字钟提供一个频率稳定准确的1MHZ 即2^20HZ的方波信号,可保证数字钟的走时准确及稳定。

不管就是指针式的电子钟还就是数字显示的电子钟都使用了晶体振荡器电路。

分频器电路将1MHZ的高频方波信号经2^20次分频后得到差不多1Hz的方波信号供秒计数器进行计数。

分频器实际上也就就是计数器。

时间计数电路由秒个位与秒十位计数器、分个位与分十位计数器及时个位与时十位计数器电路构成,其中秒个位与秒十位计数器、分个位与分十位计数器为60进制计数器,而根据设计要求,时个位与时十位计数器为24进制计数器。

1;系统原理框图如下一、设计框图系统原理框图说明2、1 时、分、秒计时器计时器为一个24进制计数器,分、秒计时器均为60进制计数器。

当秒计时器接受到一个秒脉冲时,秒计数器开始从1计数到60,此时秒显示器将显示00、01、02、、、、、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、、、、、59、00;每当分计数器数到00时,就会产生一个脉冲输出送至时计时器,此时时计数器数值在原有基础上加1,其显示器将显示00、01、02、、、、、23、00。

即当数字钟运行到23点59分59秒时,当秒计时器在接受一个秒脉冲,数字钟将自动显示00点00分00秒。

2、2 校时电路当开关拨至校时档时,电子钟暂停工作,通过时、分校时开关分别对时、分进行校对,开关每按两次,与开关对应的时或分计数器加1,当调至需要的时与分时,开启运行开关,电子钟从设置的时间开始往后计时。

2、3 秒信号产生电路晶体振荡器电路给数字钟提供一个频率稳定准确的1MHZ的方波信号,可保证数字钟的走时准确及稳定。

不管就是指针式的电子钟还就是数字显示的电子钟都使用了晶体振荡器电路。

分频器电路将1MHZ的高频方波信号经2^20次分频后得到差不多1Hz的方波信号供秒计数器进行计数。

2、4 电路的基本接法如下图依次就是时,分,秒三、各部分功能及其具体实现方案3、1.主体电路部分(1)时、分、秒计时器3、11二十四进制计数器简要原理说明:24进制计数器就是由两个10进制计数器74LS162器件还有GAL20V8元件级联构成,然后利用同步预置的方法实现。

二十四进制采用了同步预置的作用,所以若就是时间控制为0 到23,则23所存在的时间不够一个周期,若反馈的数定为24、这样24与0共占用一个周期的时间。

当达到24即00100100就是,恰好有与非电路实现清零。

24进制的连接图(上图)3、12六十进制计数器简单原理说明:60进制计数器就是由一个10进制计数器:74LS162还有一个10进制:74LS161级联构成,然后利用个位同步清零,十位异步清零的方法实现。

如图所示,14到11就是高位的,组成100进制后,当高位为0101、即5时,低位开始进行计数。

从0000一直到1001,此时11 为1,则经过非门后为0,也就就是说在这个过程中经历了一个下降沿,这使得高位有效,即高位可以继续增加了,但11与13经过与门回到直接置数端,这样置数有效,因为接入点均接地,即为输入均为0,又开始计时了。

这样就实现了00到59的变化,因为就是同步置数,所以不会出现60。

对于两种电路的设计,既运用到了同步置数,又利用了异步清零,两者协调好了,就可以灵活的工作了。

60进制的连接图(上图) (分与秒都就是一样)分频器就是由三个GAL 16V8级连接而成五调试电路具体调试步骤与方法:(1)用示波器检测石英晶振的输出信号波形与频率,输出频率应为0、1MHZ,(2)将0、1MHZ信号送入分频器,用示波器检查各级分频器的输出频率就是否符合要求,(3)将1HZ秒脉冲分别送入时分秒计数器,检查各组计数器的工作情况,(4)观察校时电路功能就是否满足要求,(5)当分频器与计数器调试工作正常后,观察电子钟就是否正确,正常地工作。

2 校时电源电路当重新接通电源或走时出现误差时都需要对时间进行校正。

通常,校正时间的方法就是:首先截断正常的计数通路,然后再进行人工触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

根据要求,数字钟应具有分校正与时校正功能,因此,应截断分个位与时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。

校正好后再将单刀双掷开关切换到正常的计时档位,校时结束。

简要原理说明:这个电路连接部分也实现了以上分,秒,时计数电路的连接。

在59分或者59秒时,即01011001,里面一共有4个1、分别输入与门的四个输入端,也就就是说,只有59时,与门的输出才为1,当单击空格键时,电路导通,经过一个非门与时电路连接,这样就顺利的在下降沿实现增长。

所以经过一个59秒时,分钟增加一分钟,经过一个59分时,小时增加一小时。

这样一个基本的数字电子钟就完成了。

四课程设计总结(心得体会)通过这次数字电子钟的课程设计,我们才把学到的东西与实践相结合。

从中对我们学的知识有了更进一步的理解。

这就是一次综合性很强的实验,从最初的模型规划,到具体功能的实现,再到电路的连接,直至最后的电路调试,每一个环节都让我加深了对实际问题的思考,同时也让我动手能力有了很大的提高。

在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理与其具体的使用方法。

也锻炼了自己独立思考问题的能力与通过查瞧相关资料来解决问题的习惯。

虽然这只就是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,与设计中应注意的问题。

设计本身并不就是有很重要的意义,而就是同学们对待问题时的态度,团队精神与处理事情的能力。

至于设计的成绩无须瞧的太过于重要,而就是设计的过程,设计的思想与设计电路中的每一个环节,电路中各个部分的功能就是如何实现的。

各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。

同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

首先,本次实验均能按照实验设计要求的各项功能完成,包括秒脉冲电路,时钟显示,校时电路。

完成的电路可以做到显示24进制小时,六十进制分钟与六十进制秒钟。

并能够在时间显示不准确的时候进行校时。

其次,在设计过程当中,在选择芯片上面,我们同组的人会产生一些分歧,比如在选择六十进制与十进制的计数器中,我们选择了用74SL162,而她们则选择74SL161,尽管有些不同但经过大家的调试均可以实现其应要的功能。

在这个过程中,我更深刻地体会从分立元件到中小规模集成电路再到大规模集成电路的组成过程。

同时也更加深入地了解了TDS-4实验箱的更多的功能。

更重要的就是在此过程中,我学会了独立思考,遇到问题一步一步去研究与解决解决,对于电路出现的问题不急于拆线,而就是一部分一部分地对其应有的功能进行调试,对问题进行各个击破。

总而言之,这次实验让我觉得受益匪浅。

实验所解决的问题与生活紧密相连,从而将平时学书本上的理论与实践很好地结合起来,最终当做出成品时,有很大的成就感。

参考文献数字逻辑(第五版立体化教材) 实验一基本逻辑门电路实验实验三触发器。

相关文档
最新文档