八路循环彩灯控制电路设计
八路彩灯循环显示
电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。
五种模式循环切换,复位键(CLR)控制系统的运行与停止。
二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。
方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。
LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。
三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。
四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。
在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。
八路循环彩灯电路原理图
工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。
再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。
74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。
显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。
若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。
彩灯循环操纵电路的设计与制作
摘要本次课程设计的任务是设计一个八个彩灯循环点亮电路.但是随着集成电路的迅猛进展,使得数字逻辑电路的设计显现了全然性的转变,使得咱们在日常的电路设计中能够大大的被简化,减少电路组件的数量,从而使电路简捷,而且还能够提高电路的靠得住性,稳固性.依照我的明白得,操纵彩灯的循环点亮确实是产生一系列有规律的数列,从而通过这一系列的数列来操纵八个彩灯的循环点亮.在数字电路的理论课上,咱们明白产生有规律的数列需要用到计数器或是移位寄放器.事实上,在该实验中二者皆能够用来设计该电路,只是各自的工作方式有区别罢了.因此,通过计数器或移位寄放器操纵彩灯的循环点亮.第二,即便脉冲产生电路,咱们明白555按时器能够作为多谐振荡器,而且利用555按时器设计的多谐振荡器产生的序列脉冲受干扰小,稳固性高,咱们只需要选定相应的电阻和电容来操纵周期就能够够专门好的操纵彩灯显示频率.最后,彩灯显示电路采取并联接法,利用产生的数列来操纵彩灯的亮暗.再确实是将以上三部份电路组合起来,从而组成完整电路,达到设计目标.关键字:数字逻辑电路;彩灯循环操纵;集成芯片;彩灯循环操纵电路的设计与制作1.结构设计与方案选择1.1 方案设计1.1.1 设计方案一1)二进制双向计数电路:图2 74LS192引脚图74LS192的功能表:表一 74LS192的功能表利用芯片74LS192,通过了解它的功能表,咱们明白它既能够作为加数器和减数器,因此利用这一特性,能够通过操纵芯片74LS194的置数功能:当需要循环点亮左移时,咱们能够给四个置数端0123p p p p 置数为0000,而且操纵1u CP =,D CP CP =,0MR =,从而组成加计数器,使输出0123Q Q Q Q 为0000000100100011...→→→→;同理,咱们要实现彩灯循环点亮右移时,给四个置数端0123p p p p 置数为0111,操纵u CP CP =,1D CP =,0MR =,从而组成减计数器,使输出为0123Q Q Q Q 为0111011001010100...→→→→;因此,通过上面的表达咱们通过对74LS194的操纵来产生有规律的数字序列.2)3线-8线译码器:图3 74LS138的引脚图74LS138的功能表:表二 74LS138功能表通过74LS192产生的数字序列,咱们别离将74S192的输出012Q Q Q 接至74LS138的输入012A A A ,如此能够使138的八个输出来操纵彩灯的亮灭:例如,当输出是012000Q Q Q =,74LS138输出为0123456701111111Y Y Y Y Y Y Y Y =因此能够使一盏彩灯发光.3)彩灯发光电路:在那个方案中八个彩灯接成共高电平形式,以为74LS138的输出为低电平有效,因此,只有138输出为低电平有效时才能够使彩灯发光.在那个地址,实现彩灯全灭的功能时,只需要通过一个开关来操纵1S ,当10S =时就能够够使彩灯全数熄灭;而要实现彩灯全亮,那么需要通过加一DIP 开关,有点复杂,以此在此方案中没有能够实现彩灯全亮的功能.通过以上的方案以后,咱们再加入脉冲产生电路和相应的开关,电阻等等,将它们组合成为能够实现八盏灯循环点亮的电路,而且还能够实现左移与右移,和全灭的功能.依照方案一的构思,以下图是其总的电路图:图4 方案一总电路图方案一的实现八盏彩灯的循环点亮工作流程:当6J 接到up 引脚上时, 4J 接到down 引脚上, 2J 接到高电平, 3J 接低电平, 1J 接低电平,那么该电路工作于加法计数器,因此012Q Q Q 输出序列为000001010011100→→→→101110111→→→,该数字序列作用于74LS138后,输出电平以此为: 01111111101111111101111111101111→→→11110111→→111110111111110111111110→→;那么可实现灯的右移循环点亮;同理,当当6J 接到down 引脚上时, 4J 接到up 引脚上, 2J 接到高电平, 3J 接低电平, 1J 接高电平常,那么该电路工作于减法计数器,而一样012Q Q Q 输出序列为111110101100011→→→→010001000→→→,现在74LS138的输出电平为: 11111110111111011111101111110111→→→→11101111110111111011111101111111→→→;因此实现八盏彩灯的左移循环点亮;要想实现灯的全灭功能,只需要将开关5J 接至低电平,如此74LS198的输出全为高电平,因此能够使得八盏彩灯全数熄灭,从而达到该电路设计的一个目标;同时由于要实现八盏彩灯的全亮要接一个DIP 开关,因此可能加深了电路的复杂度,因此我在这套方案中就没有设计出实现八盏彩灯同时亮的功能,如此该套方案的一大瑕疵,不能专门好的完成课程设计的功能要求. 1.1.2 设计方案二图5 方案二的结构框图1)脉冲产生电路:选用NE555组成多谐振荡器,通过选用适合的电阻电容,组成振荡器,从而产生咱们所需要频率的脉冲.2)循环电路的设计:图6 74LS194的引脚图74LS194的功能表:表三 74LS194的功能表由74LS194的功能表能够看出,芯片74LS194能够实现4位输出的左移,右移,清零,和置数功能.因此,咱们能够利用两片74LS194芯片就能够够实现操纵八盏彩灯的循环点亮功能.3)彩灯发光电路:八盏彩灯别离接到两片74LS194的输出01234567Q Q Q Q Q Q Q Q ,当其中一个输出为高电平常,那么该盏彩灯发光,而且八盏彩灯接成共地接法,而且加入爱惜电阻,实现彩灯发光电路. 以下图是方案二的总电路图:图7 方案二总电路图方案二实现八盏彩灯循环点亮和全灭,全亮,左移和右移的功能的工作流程:第一实现左移功能:即先给7D 置1, 0123456D D D D D D D 都置0,而且开关J3,J6,J4,接到高电平以后,再将操纵S0的开关J3打到低电平处,即可实现八盏彩灯的循环左移;实现右移功能:第一给D0置1, 1234567D D D D D D D 也一样都置0,开关J3,J6,J4一路都打到高电平状态以后,再将操纵S1的开关J4接到低电平处,就能够够实现八盏彩灯的右移功;实现彩灯的全亮功能很简单,即将开关J1,J2,J3,J4,J5,J6,全数打到高电平处,就能够够实现八盏彩灯全亮功能;而实现全灭功能,只要将操纵清零信号的开关J4接到低电平处,就能够够实现八盏彩灯的全灭.以上确实是方案二实现全数功能的调试方式.1.2 两种方案的比较与选择通过multisim的仿真结果,以上的两种方案都大体上能够实现本次课程设计的功能要求,可是在方案一中已经提过了,由于电路的复杂性,没有设计DIP开关,就不能实现彩灯的全亮功能.下面来比较一下两种方案的好坏.第一,从器材方面来讲,方案一顶用到函数发生器,即芯片74LS138,据市场价钱来讲,比其他芯片价钱确实要偏高一点.第二,方案一中不能实现八盏彩灯全亮的功能,这也让该方案的价值大打折扣.可是,我感觉方案一的连线调试相关于方案二来讲要简单一点.方案二能够实现本次课程设计的全数功能:左以,右移,全亮,全灭,而且电路原理比较简单,容易让人明白得;第二所需要的芯片价钱廉价,经济效益高.可是,该电路的连接有点困难,导线相对较多,给电路的连接和调试带来了不方便.尽管方案一与方案二都存在着缺点,可是总合考虑以后,仍是采纳方案二,因为它能够实现所需全数功能,这也是本次课程设计相当重要的目标.因此,咱们采纳方案二作为咱们这次课程设计的方案.2. 单元电路的设计:2.1 脉冲产生电路:用NE555按时器组成的多谐振荡器的原理图如下面:图8 555按时器的原理图555按时器内部的比较器灵敏度比较高,而且采纳差分电路的形式,因此利用555按时器组成的多谐振荡器的振荡频率受电源电压和温度的阻碍较小.咱们在数字电路中大体上已经了解了如何利用555按时器来组成多谐振荡器的原理和电路图的接法,下面咱们需要讨论的是电阻的选择和电容的选取,如何才能符合课程设计的要求.第一,该次课程设计的八盏彩灯的循环点亮的周期是1S,即频率是1HZ,而咱们在数字电路的理论课上学习过:电容C 的放电时刻,即20.7pL t R C =,而电容的充电时刻为120.7()pH t R R C =+,如此该脉冲电路所产生脉冲的周期为pL pH T t t =+,因此频率121 1.43(2)pL pH f t t R R C==++, 如此咱们通过选取适合的电阻和电容,使得f =1HZ,通过计算咱们可得12100;100; 4.7R K R K C F μ=Ω=Ω=通过以上的计算与选择,咱们能够取得周期为1S 的矩形波,如此就完成了脉冲电路的设计.2.2 循环操纵电路的设计:我已经在上面介绍了芯片74LS194的功能表和引脚图,咱们明白74LS194是一双向寄放器,它能够实现电路左移,右移,维持,并行输入,并行输出,和置零的功能,因此咱们能够利用74LS194的这些功能来实现八盏彩灯测循环操纵功能.图9 循环操纵电路对单个寄放器而言,将S0,S1,都接高电平,而且清零信号CLR也接高电平,置数1000以后,再将S1接至低电平处,能够实现右移循环功能,即1000→0100→0010→0001→1000;相反,咱们将S1接高电平,S0接低电平,能够左移循环功能,即1000→0001→0010→0100→1000.而要实现八位的左移或右移功能事实上很简单,将两个74LS194级联就能够够,如此能够实现八位的左移或右移功能,而级联是将第一片74LS194的SR接到第二片的74LS194的D3,第一片的74LS194的SL接到第二片74LS194的D0;同理,第二片74LS194的SR,SL接到第一片74LS194的D3,D0,如此就能够够实现八位的循环左移和右移功能.而在方案中,实现全灭功能,只需要将74LS194的清零端CLR接到低电平就能够够实现八盏彩灯全灭.实现全亮功能,只需要将所有输入端都接到高电平,而且开关S0,S1,清零端CLR也都接到高电平,如此就能够够实现八盏彩灯全亮功能.2.3 LED灯显示电路:为了节省经济负担,咱们利用发光二极管来代替彩灯,实现彩灯的闪烁功能,发光二极管的阴极与爱惜电阻连接,而且八个发光二极管共地连接,以此来减少导线数量和节省元器件的开支.下面是LED灯的连接电路:图10 LED 灯显示电路通过查询模拟电子技术讲义理论知识,红光LED 灯的正向电压为1.6~1.8V,电流为50mA,而咱们通过查阅资料得知74LS194的输出高电平是2.2~5V,因此咱们能够通过计算来取得所需要的爱惜电阻的大小:min 01max min 2.2 1.880.050.05o U U R --===Ωmax 01min max 5 1.6680.050.05o U U R --===Ω,因此,通过上面的理论计算咱们能够取得爱惜电阻的范围是在:~[868]R Ω因此,咱们选择爱惜电阻为47Ω.3. 电路的调试与检测3.1 电路的调试与检测:3.1.1 调试的方式:设计完电路以后,我相信最重要也是最困难的一步是确实是电路的调试,一个电路成功与否的关键也是在调试.可是,一个电子电路即便在仿真完全正确的情形下,依照仿真结果的参数来进行设置,或许其真实结果也可不能令人很中意的,究其缘故,我想是多方面的.第一,我以为即便在相应的软件中仿真正确,可是咱们也明白仿真是在理想情形下实现的,而在现实生活中,存在着复杂的客观的因素:如元器件的值的误差,器件参数的误差等等,这其中任何一个因素都会对电路的实际成效产生专门大的阻碍.因此,咱们必需在理论上通过以后,再安装连接电路,对电路进行调试和纠正,以弥补电路设计方案的不足,然后采取方法对电路进行最优化.而在电路的调试进程中,一样要用到的工具是万用表,咱们要对万用表的操作方式熟悉,如此才能在电路的调试进程中有利于咱们检查电路的故障,正确解决所碰到的问题.通常,咱们在电路调试进程中有两种检测方式,一种是模块检测法,一种是整体调试法.我个人以为模块检测发对咱们加倍有效,有利,第一,模块检测法能够让咱们能够专门快的发觉电路的故障出在哪里,能够帮忙咱们更快的解决电路所碰到的问题;第二,我以为模块检测法能够对咱们的电路进行爱惜,幸免由于电路连线的不正确而致使烧毁电路.模块检测法的检测顺序最好是依照信号的流向来进行检测,一个模块一个模块来进行检测,慢慢扩大检测范围,最后完成总的调试结果.另一种检测方式是整体调试法,该方式是在连接完毕电路以后直接对整个来进行调试,部份模块进行测试.依我个人观点,这种方式很难发觉是哪里显现问题,无益于咱们对电路进行调试.因此,咱们在本次课程设计中所采取的调试方式确实是模块测试与整体测试法相结合的方式,因为整体测试法会让咱们对电路有个整体把握,发觉电路存在问题以后,咱们再通过模块检测阀来单独进行检测,从而发觉电路的问题.3.1.2 调试的步骤:电路的调试步骤:第一,咱们组的成员商量讨论以后,决定采取哪一种方案以后,在放仿真软件multisim中仿真正确以后,依照所取得的正确的电路图咱们进行电路连线,连线完毕以后,第一查看电源是不是接错或显现短接的情形,然后,再查看各个芯片是不是安装的牢固,最后,咱们要做的是检测各个芯片的引脚是不是接错,这是超级重要的一步,因为若是芯片引脚接错,可能致使芯片被烧坏.以上是电路连接完毕以后必不可少的一步.第二,咱们在做好第一步以后进行下一步,接通电源,观看电路是不是正常工作,若是不能正常工作,那么当即关闭电源,而且对电路的各个模块进行检查来排除错误,直至发觉错误为止,发觉错误以后进行更正.再以后,当第二步完成以后,咱们在工作开关断开的情形下,来检测脉冲产生电路的正确性,用一个发光二极管来检测,当发光二极管工作正常时,说明脉冲产生电路是正确的;当不能正常工作时,咱们需要排除其中的错误,看看是不是是因为芯片的连接问题等等;还有,咱们要检测循环操纵电路,检测其输出电平是不是是正常的,若是不正常咱们需要检查出缘故,一样这时咱们第一看看芯片的连接是不是正确,直至排查出缘故.最后,电路调试的工作是闭合工作开关,观看等是不是能够正常处于所要求的工作状态,现在若是继续存在问题,那么咱们应该继续对电路进行调试3.1.3 调试中的故障和解决方式:在咱们这次的调试中,我确实是依照上面所表达的方式进行调试的.当咱们连接完毕电路以后,另两个同窗依照正确的电路图进行检查,待全数检查连线正确以后,咱们接通+6V的电源,发觉电路不能正常工作,于是咱们当即关闭电源,认真分析一下电路以后,确信所有芯片的引脚连接正确以后,用万用表对脉冲电路进行了检测,发觉脉冲电路能够正常工作.于是咱们认真试探以后,接合以前的体会,于是咱们对连接发光二极管的那一排插孔进行测量,发觉问题正好出在了那里,由于电路板的制作缘故,两孔之间没有接通,因此致使电路不能正常工作.咱们采取的方法是每一个孔进行测量,看看哪些孔之见不能正常接通,以后再通过导线将它们连接起来,以达到正常接地的功能.解决以上功能以后,咱们再次进行电路调试,发光二极管尽管能够实现全亮,全灭,和置数和清零功能,可是不能实现左移和右移功能,但这时我大体上已经确信我的电路连接不存在任何问题,问题应该是开关S1和S0的缘故,因此咱们再次对连接S1和S0的开关接线进行检测和稳固以后,对电路进行了调试,电路能够正常工作了.但由于开关的抖动性,致使了左移和右移的功能常常处于失效状态.在数字电路中咱们事实上已经学习过解决开关的抖动性,咱们能够利用SR锁存器来排除抖动性,可是在这次课程设计中咱们没有专门好的方法来解决该问题,只能多试几回,以此来让电路处于正常工作状态.总来讲,这次电路的调试仍是比较成功的,能够准确排查出缘故和及时解决问题,大大提高了咱们的工作效率,也专门大的提高了咱们在实践中利用理论知识的分析问题和解决问题的能力.4.电路的仿真结果4. 方案二的仿真图形4.1 脉冲电路的仿真:图11 脉冲电路仿真图通过平常的自学,把握了multisim仿真软件的用法,因此,在这次课程设计中取得了运用.它为咱们提供了该电路是不是正确与否的信息,能够帮忙咱们顺利完成电路设计.下面是仿真取得的脉冲图形:图12 555按时器产生的脉冲4.2 循环操纵电路仿真图形:图13 彩灯循环操纵电路仿真图尽管能够仿真出来动态感,但由于只能插入图片,因此只能显示出一盏灯亮的成效.4.3 总电路仿真图形:图14 整体电路仿真图形总结与体会这次电子电工课程设计是咱们进入大学以来第一次做课程设计,因此关于咱们来讲是一个新鲜事物,同时也是一个挑战,毕竟咱们以前从来没有做过.可是,凡事都有第一次,咱们不能因为以第一次为借口就能够够掉以轻心,咱们必需尽自己最大的尽力来做好这次课程设计.这次课程设计给我最大的感受是启发庞大.第一,在做这次课程设计的进程中,咱们要大量用到大一下学期所学的电路基础知识和大二上学期学的模拟电子技术和本学期所学的数字电子技术的理论知识,而且,在课程设计中不仅要知道理论知识,更重要的是咱们要将理论知识运用到电路实际的设计与调试中,而且还要考虑到现实生活中的环境,结合实际才能设计出比较有效的电路图.第二,确实是我查阅资料的能力取得大大提升.尽管这次课程设计的题目网上漫天飞,但我是通过实际所学的知识亲自设计出来的.在自己设计的进程中,不免会需要大量资料,而这就考察了我的查阅资料与挑选资料的能力.以前,学校的数据库很少被我利用,而且操作也不是很熟悉,但这次我为了设计出完美的电路,查阅大量的资料,能够说,一个电路设计下来,我也差不多看了20多篇论文.而同时,在设计电路进程中,阅读资料也大大增加了我的知识面和阅读论文的能力,可谓是一举多得.最后,在课程设计中提高了我的动手能力.以前,我一直注重理论知识的学习,而轻忽自己的动手实践能力,以致于尽管我再理论课上的考试成绩很高,但每次实验都不能够专门好的完成实验.但是,这次课程设计,咱们这组能够说是以我为核心,我领导了电路的设计,连接,调试等一系列进程,在这些进程当中,我都亲力亲为,大大锻炼了我的实际动手能力和领导能力.尽管这次课程设计完成得还算不错,但在课程设计的进程当中,也暴露出了我自身的许多问题.第一是以前所学的知识忘记得专门快,由于没有及时的巩固以前的知识所造成的后果,事实上这些基础电路的知识在咱们以后的专业课学习中也会大量会运用到,这就给我敲响了警钟,及时温习所学的知识才能够运用得游刃有余,而且有人曾对我说过”对一件情形感爱好是即便在没有任何外在压力下也仍然孜孜不倦的去学习它,运用它”,这句话给了我专门大的启发,我一直以为我对电路方面有专门大的爱好而且以为自己有这方面的天赋,看样子我在这方面做得仍是不够.还有一个方面是我在与他人合作进程中所暴露出来的问题,关于他人我也像要求自己一样来要求他们,从而与他们在合作进程中有一些不愉快;而且我在电路调试进程当中碰到问题时不是很平复,乃至显得有点急躁,因此不能够及时排查出缘故.这些都是我的心态所阻碍的,尔后在这方面我要好好更正,争取做到平复处事.这次课程设计完成了,我也完成了一篇论文,尽管说这篇论文质量不是很高,但这是我进入大学以来写的最长,写得最认真,写得最中意的一篇论文.通过完成如此一篇论文,为我尔后发表论文打下了一个专门好的基础.总而言之,这次课程设计让我知道了许多许多,知识的重要性,理论与实践结合的重要性,和与人合作的重要性等等.这次课程设计时刻没有浪费,是我进入大学以来最最充实的两个礼拜.参考文献[1]康华光.陈大钦.电子技术基础-模拟部份(第五版).北京.高等教育出版社.2006.1[2]康华光. 邹寿彬.电子技术基础-数字部份(第五版).北京.高等教育出版社.2006.1[3]罗杰.电子技术基础习题全解-数字部份(第五版)高等教育出版社.2006.5[4]邱关源.电路(第五版).高等教育出版社.2006.5元件明细表。
八路彩灯课程设计八路彩灯控制器的设计
八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
⑵掌握计数、译码、显示综合电路的设计与调试方法。
⑶掌握实际输出电路不同要求的实现方法。
2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。
⑵八路彩灯从右向左逐次渐灭,间隔为1秒。
⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。
3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。
⑵选择元器件型号。
⑶画出总逻辑图和装配图,并在实验板上组装电路。
⑷进行电路调试,使其达到设计要求。
⑸写出总结报告。
4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。
二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
plc8位彩灯循环控制
离散(中 等)
9
12
HSC0 CV=PV
10
27
HSC0方向改变
11
28
HSC0外部复位
12
13
HSC1 CV=PV
13
14
HSC1方向改变
14
15
HSC1外部复位
15
16
HSC2 CV=PV
16
17
HSC2方向改变
17
18
HSC2外部复位
18
Page 14
精选课件
二、相关知识
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。
2
定时器T96 CT=PT中断
3
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。
② 中断指令
梯形图 RETI ENI DISI ATCH DTCH
CLR_EVNT
语句表 CRETI
ENI DISI ATCH INT,EVNT DTCH EVNT CEVNT EVNT
上升沿,I0.0 上升沿,I0.1 上升沿,I0.2 上升沿,I0.3 下降沿,I0.0 下降沿,I0.1 下降沿,I0.2 下降沿,I精0.选3 课件 HSC0 CV=PV
优先级分组
离散(中 等)
按组排列的优 先级 0 1 2 3 4 5 6 7 8 9 10
19
PTO 0脉冲输出完成中断
0
20
Page 11
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。
plc8位彩灯循环控制
二、相关知识 (五)数据处理指令
1.传送指令 (1)数据传送指令
数据传送指令的表示符号
二、相关知识 (五)数据处理指令
1.传送指令 (2)数据块传送指令
字节块、字块、双字块传送指令的表示符号
二、相关知识 (五)数据处理指令
0=启动信号高电平有效,1=低电平有 效
SM37.2 SM47.2 SM57.2
二、相关知识 (一)功能指令概述
PLC的应用指令也称为功能指令,是指在完成基本逻 辑控制、定时控制、顺序控制的基础上,PLC制造商 为满足用户不断提出的一些特殊控制要求而开发的指 令。PLC的应用指令越多,其功能就越强。一条功能 指令相当于一段程序。
二、相关知识 (二)功能指令的形式
图8-2 功能指令的形式
3.移位指令 左移位和右移位指令的使用
二、相关知识 (五)数据处理指令
3.移位指令 (3)循环左移位指令
循环左移位指令的表示符号
二、相关知识 (五)数据处理指令
3.移位指令 (4)循环右移位指令
循环右移位指令的表示符号
二、相关知识 (五)数据处理指令
3.移位指令 (5)移位寄存器指令SHRB
移位寄存器的使用说明
② 中断指令
梯形图 RETI ENI DISI ATCH DTCH
CLR_EVNT
语句表 CRETI
ENI DISI ATCH INT,EVNT DTCH EVNT CEVNT EVNT
描述 从中断程序有条件返回
允许中断 禁止中断 连接中断事件和中断程序 断开中断事件和中断程序 的连接 清除中断事件
二、相关知识
8路输出的彩灯循环控制电路数电说明书(内附电路图)
绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。
例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。
随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。
随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。
不论是思想,还是视觉,人们都在追求更高的美。
特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。
本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。
本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。
由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。
编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。
(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。
2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。
3、提高电子电路实验技能及Multisim10仿真软件的使用能力。
8路循环彩灯设计控制电路
数字电子技术课程综合设计题目:8路循环彩灯控制的电路姓名:学号:层次:专业:班级:指导教师:2021年5月独创性申明一.本论文是本人独立完成;二.本论文没有任何抄袭行为;三.若有不实,一经查出,请答辩委员会取消本人答辩资格。
摘要:设计一个8路循环彩灯电路,要求该电路能够实现8路彩灯能够依次点亮,然后在一起点亮,且每次不同的点亮状态要持续两秒,且能够循环上诉的效果。
该电路主要是由555定时器与一些分立元器件构成多谐振荡器,74LS163同步计数器,74LS138译码器,LED等组成的,由多谐振荡器提供周期为一秒的脉冲方波信号,然后经过74LS163同步计数器进行二分频,使其周期变为两秒,在经过74ls138译码器的译码,从而完成8路彩灯循环电路设计的要求,电路在经过ISIS的仿真测试能够有效的依次点亮8路彩灯,最后在全部点亮的功能,从而完成课设要求。
关键词:多谐振荡器;74LS163同步计数器;74LS138译码器;Abstract:Design an8-way cycle lamp circuit,the circuit is required to achieve8-way lights can be lit in turn,and then lit together,and each time the different lighting state to last two seconds,and can cycle the effect of appeal.The circuit is mainly composed of555 timer and some discrete components,such as multivibrator,74ls163synchronous counter, 74LS138decoder,led,etc.the multivibrator provides a pulse square wave signal with a period of one second,and then the74ls163synchronous counter bisects the frequency to make its period become two seconds,In order to complete the design requirements of 8-way color lamp cycle circuit,the circuit can effectively light up8-way color lamp in turn after Isis simulation test,and finally in the full lighting function,so as to complete the course design requirements.目录前言1电路整体方案设计 (2)1.1电路设计要求 (4)1.2电路设计方案 (4)1.3出现的问题及解决方案 (5)2电路结构分析 (5)2.1多谐振荡器 (5)2.2计数器 (6)2.3译码器 (5)2.4电路仿真图 (5)3结论 (9)参考文献 (10)致谢 (8)前言本课题主要研究的是如何实现8路彩灯依次点亮,最后在全部点亮的循环过程,要实现课设的基本功能需要了解掌握计数器,译码器的等芯片功能;是要选取555定时器和一些分立元器件组成的多谐振荡器,73LS163同步计数器,74LS138译码器的功能及应用。
8路彩灯控制器设计详细讲解(附图)
8路彩灯控制器设计详细讲解(附图)学校:北华大学班级:信息 11-2姓名:王杰学号:28号指导教师:曲萍萍实验日期:2013.5.20—5.24一、软件内容二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得六、参考文献一、软件内容MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。
它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。
MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。
MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。
二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。
2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3、了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4、培养认真严谨的工作作风和实事求是的工作态度。
5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。
具体要求如下:1、8路彩灯的循环花型如下表所示;2、节拍变化的时间为0.5s和0.25s,两种节拍交替运行;3、三种花型要求自动循环显示移存器输出状态编码表节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 1111100013 1111000014 1110000015 1100000016 10000000三、设计原理过程(1)总体方案的设计; 在方案一的基础上将整体电路分为四块。
8路彩灯控制电路设计
附件:
附表 3.1.2 74LS194N 的特性表
3.3 设计方案
(1)编码器的设计。分析表 3.1.1,实现花型Ⅰ时前四位 Q0 Q1 Q2 Q3 为左移 环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律;实现花型Ⅱ 时前四位 Q0 Q1 Q2 Q3 为左移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为右移环形 计数器的规律;实现花型Ⅲ时前四位 Q0 Q1 Q2 Q3 为右移环形计数器的规律,后 四位 Q4 Q5 Q6 Q7 为右移环形计数器的规律;实现花型Ⅳ时前四位 Q0 Q1 Q2 Q3 为 右移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律。
9 页脚内容
片的 S1 与它们是异或的逻辑关系。这样在电路中加上了一个 D 触发器和一个异 或门就可解决上述 问题。
然后遇到的就是接到电路中的触发器没有实现预想的功能,通过示波器观察 发现经由触发器输出的波形并没有变化。第一次加入触发器时并没有直接使用 D 触发器而是使用的是 JK 触发器 J、K 端悬空实现 D 触发器的计数功能。但是经过 实际连接发现了 J、K 端悬空时并没有实现 D 触发器的功能。然后经过多次摸索 发现书中说的悬空是指什么都不接那样就表示接高电平,而在这个软件中悬空的 话是要直接接一个高电平的,不然实现不了预想的功能。经过不断的改进本设计 最终选用了一个 D 触发器,实现预想的功能。
在设计时不断地考虑芯片各个端口的功能,产生的进位,输出的信号,以及 现有的逻辑关系与所需的逻辑关系。综合考虑设计电路,虽然有的问题可能思考 很久依旧没有结果,这时查找资料询问同学则是很好的选择。
看着自己在纸上画的波形图,写的逻辑表达式以及仿真的结果顿时有了很大 的成就感,三天的时间很短设计时间很仓促,答辩完成后再看看自己的设计图发 现还是有很大的修改空间。更换不同的端口界线就实现了其他不同的花型变换, 其中的门电路可以使用其他的一个或两个芯片来代替,这样整个电路就会显得更 加清晰明了。
八彩灯电路循环课程设计
目录一、课程设计的题目与要求 (3)课程设计题目要求 (3)二、所需实验器材 (3)所需实验器材 (3)三、题目分析与原理设计 (3)1、总体思路 (3)2、各个模块的实现 (4)四、各个模块的制作 (5)1、函数信号发生器 (5)2、计数器 (7)3、5-32 译码器 (7)4、总体电路图的实现 (9)五、心得体会 (10)六、参考文献 (11)一、课程设计的题目与要求课程设计题目:彩灯循环显示控制电路设计题目要求:1.有八只LED:L1…L82.显示方式:①由L1到L8依次点亮;②先奇数灯依次点亮,再偶数灯依次点亮,循环四次,显示间隔200ms;二、所需实验器材三、题目分析与原理设计1、总体思路按课程设计题目来看,要求实现彩灯的2 种状态:1.由L1到L8依次点亮;2.先奇数灯依次点亮,再偶数灯依次点亮,循环四次;我们可以将电路分成两个部分,即:由L1到L8依次点亮一种状态,先奇数灯依次点亮,再偶数灯依次点亮,循环四次又一种状态,所以在开始接一个开关即可,然后将震荡电路过来的脉冲通过计数器的计数到译码器,译成可以实现的高低电平再通过门电路的链接便可实现题目要求的彩灯控制。
由上分析可知需要计数器,译码器,然后在由门电路连接LED 灯。
总体设计思路图如下:2、各个模块的实现(1)函数信号发生器:函数信号发生器是由555定时器构成的多谐振荡器,555 集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器(2)计数器:计数器是由74LVC161构成,它是一种高性能,低功耗CMOS4位同步二进制加计数器,它的内部所有逻辑输入端都可内受高达5.5V的电压,因此,在电源电压为3.3V时,可直接接5V供电的TTL逻辑电路接口,它的工作速度快,对整体电路延迟很小。
(3)译码器74LS138是3线—8线译码器,在这个电路的设计过程中,我将用5线—32线译码器,怎样才能用3线—8线实现5线—32线的功能,这是在设计电路当中的一个重点,为了实现现5线—32线,我们用到5个74LS138的 3线—8线译码器,由它构成5线—32线译码器,在我们学的课本里会找到。
8路彩灯控制电路设计
页眉内容《8路彩灯控制电路设计》课程设计报告2015年1月8日目录1.课程设计目的 (1)2.课程设计题目描述和要求 (1)8.附件 (8)1.课程设计目的1.1进一步掌握数字电路课程所学的理论知识并应用于实际中。
1.2了解数字电路设计的基本思想和方法。
1.3掌握电路板的焊接技术,锻炼实际动手操作能力。
2.课程设计题目描述和要求2.集成双向移位寄存器74LS194的逻辑符号与功能表4.1 时钟信号电路将555定时器的TH和TR两个输入端连在一起作为信号输入端,即得到施密特触发器。
然后将555定时器的DC端经过RC积分电路接回输入端就构成多谐振荡器。
电路的震荡周期为T=(R1+2R2)Cln2.仿真电路周期为T=(47000+2*47000)*4.7*0.00001*ln2=4.5935.仿真电路图中时钟信号电路如下:向移位寄存器74LS194的MR处于高电平,S0,S1的状态决定它的工作状态,其4个信号输出端Q0-Q3分别连接D1-D4、D5-D8八个发光二极管,输出信号可以控制发光二极管的亮灭,从而实现花型变换。
第一次花型变换:第二个74LS161的Q2、Q3输出端处在零状态时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后74LS161触发8次清零一次,第一次花型变换完成。
第二次花型变换:74LS161的Q2=1、Q3=0时,第一个74LS194的S0=0、S1=1,处在左移的工作状态中,红灯依次由D4亮到D1;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后清零,第二次花型变换完成。
第三次花型变换:74LS161的Q2=0、Q3=1时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=1,5.2 电路仿真结果分析该电路图在proteus软件中仿真成功,可以实行四种花型变换:八个红灯从D1和D8开始同时对称的向中间依次点亮,然后全部熄灭;八个红灯从D4和D8开始同时向上面依次点亮,然后全部熄灭;八个红灯从D1和D5开始同时向下面依次点亮,然后全部熄灭;八个红灯从D4和D5开始同时对称的向两边点亮,然后熄灭。
8路LED彩灯控制电路 设计报告
《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2011年 1 月 8 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。
本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。
而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。
二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。
三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。
3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。
脉冲周期T=(R1+R2)Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。
八路循环彩灯控制电路设计
课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。
计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。
在时钟禁止输入为高电平时,时钟被禁止。
复位输入为高电平时,时钟输入独立运行。
该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。
由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。
引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。
当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。
八路循环彩灯控制电路设计
八路循环彩灯控制电路设计项目项目名称:八路循环彩灯控制电路设计指导老师:姓名:学号:班级:课题名称:8路循环彩灯控制电路1)设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。
2)设计方案将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮a用框冬衣?5如下af振荡器| 一 |计数器|二译码器|〜氏路彩灯f3)单元电路设计参考1、振荡器设计用集成555电路构成多谐振荡器。
电路如下图所示。
若选用四位二进制计数器,要达到设计要求,振荡周期T应为比c COQ 3 CTr LD 1秒。
7 = 0・7(尺十2毘疋若取R 尸R 2 =R ,则T^2RCo当取OlOOnF 时,R T/ (20 =0.5/C=5K Q一般电路中,取Ci=0.01uF5)计数器的选用选四位二进制计数器74LS161.其引脚功能如下图 所示°ii51Ji4l [13! [121 [l lHlO' £9174LS161/CC40161AJUJ L3J L4J [5J 16] L7J L3JCR CP D o Di 02 03 CT P GNDQ o -Q 2作输岀,Qs 不用。
使输岀数据的频率为输入 时钟频率的二分频,周期为2秒。
6)译码器的选用选四位二进制计数器74LS161.其引脚功能如下图所示。
比c CO Q Q Q I Q2 Q3 CTr LD|?6! [151 114| |13! fT5LFH] [10] TL74LS161/CC40161L1JL2JL3JL4JL^L^L7JL8JCR CP D o D1 D2 D3 CTp GNDQo〜作输出,Qs不用。
使输出数据的频率为输入时钟频率的二分频,周期为2秒。
7) 彩灯的选用这里选用发光二极管做彩灯。
由于电源电压为5V,每次只亮一路灯,限流电阻R3= (UCC-VD) /ID,当R3取470时,ID约为7mA。
八路彩灯循环显示控制电路课程设计
说明书目录1前言 (1)1.1序言 (1)1.2目前彩灯的应用情况 (1)1.3主要工作概述 (2)2 总体方案设计 (3)2.1方案比较 (3)2.2方案论证 (4)2.3方案选择 (4)3.单元模块电路设计 (5)3.1时钟信号发生器 (5)3.2 序列信号发生器 (7)3.3 移位输出显示电路 (13)4软件设计 (16)4.1Proteus仿真软件 (16)4.2 Altium designer软件 (16)4.3软件的设计结构 (18)5系统调试 (19)5.1脉冲信号发生器的调试 (19)5.2序列信号发生器和以为输出显示电路调试 (20)5.3整体电路的调试 (20)5.4系统实现的功能 (21)6设计总结与收获 (22)7 参考文献 (24)附录:彩灯显示控制电路原理图 (25)说明书1前言1.1序言由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。
在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。
因此用集成电路来实现更多更复杂的器件功能则成为必然。
随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。
在大型晚会的现场,彩灯更是成为不可缺少的一道景观。
小型的彩灯多为采用霓虹灯电路则不能胜任。
在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。
这些灯的控制设备多为数字电路。
而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。
长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。
流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。
八路彩灯循环点亮电路设计
数字电子技术课程设计设计题目:八路彩灯循环点亮电路设计学院:专业:____班级:学号:学生姓名:指导教师:2009年 7月 09日目录前言 (3)设计题目 (4)1 设计要求及主要技术指标.. (4)1.1 设计要求 (4)1.2 主要技术指标 (4)2 设计过程 (4)2.1题目分析 (4)2.2 整体构思 (5)2.3 具体实现 (5)3 元件说明及相关计算 (6)3.1 元件说明 (6)3.2 相关计算..................................... . (12)4 调试过程 (12)4.1 调试过程 (12)4.2 遇到问题及解决措施..................... . (13)5 心得体会......... ......... ......... ............. (14)参考文献......................................... (14)附录一:电路原理图 (15)附录二:元件清单 (16)前言电子电路中的信号可分为两类:一类是随时间连续变化的信号,称为模拟信号,例如温度的变化、声音在空气中的传播、表的指针指示的时间、正弦交流信号等。
用来产生、传输、处理模拟信号的电路称为模拟电路。
另一类是时间上和数值上都不连续变化的离散信号,称为数字信号,例如数字电子表显示的时间量、数字万用表测量的量、工厂产品量的统计等。
用来产生、传输、处理数字信号的电路称为数字电路。
数字电路的工作信号在时间上和数值上是不连续变化的。
数字信号反映在电路上只有高电平和低电平两种状态,高电平通常为+3.5 v左右,低电平通常为+0.3 v左右。
这两种状态很方便地用二极管或三极管的导通、截止即开、关状态来实现。
分别用1和。
表示这两个状态,就可以用二进制数进行信息的传输和处理。
所以数字电路就不能采用模拟电路的分析方法。
利用数字电路技术数出给定时间内所通过的脉冲数并显示计数结果的数字化仪器。
八路循环彩灯电路设计
八路循环彩灯电路设计目录1引言 (1)2 系统组成及工作原理 (1)2.1 基础设计目的 (1)2.2 基础设计要求 (1)2.3 总体设计思路 (2)2.4 电路框图 (2)2.5 PCB板布线 (2)2.5.1 布局规则 (2)2.5.2 布线规则 (2)3 循环彩灯的系统组成 (3)3.1 555定时器电路 (3)3.1.1 定时器电路产生时钟脉冲 (3)3.1.2 555定时器组成的多谐振荡电路 (4)3.2 74F161A及74LS194的功能 (5)3.2.1 74F161A四位二进制同步计数器 (5)3.2.2 74LS194四位双向移位寄存器 (6)3.3 设计彩灯控制电路 (7)3.4 电路图的仿真 (7)3.5 PCB板布线与制做方案的实施 (8)3.5.1 PCB板布线 (8)3.5.2 PCB板制作 (8)4 循环电路的总体设计 (8)4.1 循环彩灯原理图 (8)4.2循环彩灯仿真图 (9)4.3 循环彩灯PCB图 (10)4.4循环彩灯装配图 (10)4.5 循环彩灯实物图 (11)5 实验结果的调试与检验 (12)5.1 调试技巧方法 (12)5.2 调试中出现的原因、故障及排除的方法 (12)6 总结与设计结论 (12)6.1 总体结果 (12)6.2 设计结论 (13)6.3 收获 (13)参考文献 (16)致谢..................................................... 错误!未定义书签。
附录表 (16)1引言随着“数字化”的浪潮席卷几乎一切领域。
由于电子产品更新的快,新产品开发速度日新月异,所以对电子自动化(EDA)的设计提出了更高的要求,促进了数字化的飞速发展。
数字电路的设计过程和方法也在不断的发展和完善。
通过这次毕业设计主要是:以Protel软件为设计平台,了解基本的数字电路知识,学习基本的集成元件的连接方法,掌握电子设计的基础知识,并且运用这些知识设计循环彩灯电路。
8路彩灯控制电路设计
《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:指导教师:2014年 6 月25 日目录1.课程设计的目的 (1)2.课程设计题目描述和要求 (1)3.电路设计 (1)4.设计过程中遇到的问题及解决办法 (7)5.结论与体会 (8)附表参考书目 (9)1. 课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理;3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。
2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3.1闪烁花型设计花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。
花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。
花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。
花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。
花型状态编码表如表3.1.1所示。
每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。
表3.1.1 8路彩灯输出状态编码表3.2设计原理3.2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。
图3.2.1 彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭;控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。
3.2.2器件的选择74LS194N·························································2片74LS161N·························································2片74LS04D ·························································6片74LS76D ·························································1片时钟电压源·······················································1个VCC(5V)·························································3个3.3设计方案(1)编码器的设计。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
八路循环彩灯控制电路设计
项
目
报
告
书
项目名称:八路循环彩灯控制电路设计
指导老师:
姓名:
学号:
班级:
课题名称:8路循环彩灯控制电路
1)设计要求
设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。
2)设计方案
3)单元电路设计参考
1、振荡器设计
用集成555电路构成多谐振荡器。
电路如下图所示。
4)电路元件参数计算
若选用四位二进制计数器,要达到设计要求,振荡周期T应为1秒。
5)计数器的选用6)译码器的选用
7)彩灯的选用
这里选用发光二极管做彩灯。
由于电源电压为5V,每次只亮一路灯,限流电阻R3=(UCC-VD)/ID,当R3取470时,ID约为7mA。
所以发光二极管选用LED的参数为:ID=10mA,
VD=2V,PM=100mW.
8)设计原理电路。