山东大学考研真题《数字电路》3套2018-2016年
十四套名校数电考研真题、答案与详解

十四套名校数电考研真题、答案与详解网学天地()出品版权所有!目 录1华中科技大学2008年《电子技术基础》考研真题与答案 (1)2电子科技大学2010年《数字电路》考研真题与答案 (6)3浙江大学2011年《信号系统与数字电路》考研真题与答案 (14)4吉林大学2010年《电子技术》考研真题与答案 (20)5南开大学2011年《电子综合基础》考研真题与答案 (23)6华南理工大学2011年《电子技术基础》考研试题 (27)7哈尔滨工业大学2010年《电子技术基础》考研真题与答案 (33)8哈尔滨工业大学2010年《电路与数字电子技术》考研真题与答案 (39)9哈尔滨工业大学2010年《信号与系统、数字电路》考研真题与答案 (40)10复旦大学2009年《电子线路与集成电路设计》考研真题与答案 (48)11东南大学2008年《信号与系统、数字电路》考研真题与答案 (52)12深圳大学2011年《数字电路与专业综合》考研真题与答案 (60)13重庆大学2010年《电子技术一》考研真题与答案 (67)14北京邮电大学2009年《电子电路》考研真题与答案 (71)网学天地( )出品 版权所有! 11 华中科技大学2008年《电子技术基础》考研真题与答案数字电子技术部分一、填空题(每空1分,共20分)4.数字电路中的三极管一般工作于________区和________区。
答案:截止 饱和5.(63)O 的二进制补码是________,格雷码是________。
答案:(101100)B (101010)B6.四个逻辑变量的最小项最多有________个,任意两个最小项之积为________。
答案:16 07.触发器是对脉冲________敏感的存储单元电路,锁存器是对脉冲________敏感的存储电源电路。
答案:边沿 电平8.对于一个含有逻辑变量A 的逻辑表达式L ,当其他变量用0或1代入后,表达式可化简为:L =________或________时,会产生竞争冒险。
山东大学2018年硕士研究生招生考试自命题科目考试大纲-906-数字电路(专)

906-数字电路(专)
一、考试性质
《数字电路》是电子与通信工程(专业学位)、集成电路工程(专业学位)专业学位研究生入学考试的科目之一。
《数字电路》考试力求反映信息学科相关专业的特点,科学、公平、准确、规范地测评考生的基本素质和综合能力,以利用选拔具有发展潜力的优秀人才入学,为我国快速发展的信息产业培养出具有良好职业道德、国际化视野、较强分析与解决实际问题能力的高层次、应用型、复合型的专业人才。
二、考试要求
《数字电路》是信息类学科的一门重要的基础课。
通过本课程测试考生对本专业的基本概念、基础知识的掌握情况和运用能力。
三、考试形式和试卷结构
1.试卷满分及考试时间
本试卷满分为150分,考试时间为180分钟
2.答题方式
答题方式为闭卷、笔试。
试卷由试题和答题纸组成。
3.考试题型
试卷由题库组题,题型主要有填空题、选择题、简答题、综合分析题、综合设计题等。
四、考试内容
(一)数制与码制
1.概述
2.几种常见的数制
3.不同数制间的转换
4.二进制算术运算
1)二进制算术运算的特点
2)反码、补码和补码运算
5.几种常用的编码
(二)逻辑代数基础
1.概述
2.逻辑代数中的三种基本运算
3.逻辑代数的基本公式和常用公式。
山东大学电子设计自动化试卷3

第3页 共5页
七、综合题(20 分)
下图是一个 A/D 采集系统的部分,要求设计其中的 FPGA 采集控制模块,该模块由三个部分构成:控 制器(Control) 、地址计数器(addrcnt) 、内嵌双口 RAM(adram) 。控制器(control)是一个状态机,完成 AD574 的控制,和 adram 的写入操作。Adram 是一个 LPM_RAM_DP 单元,在 wren 为’1’时允许写入数据。 试分别回答问题
1. SOPC 2. LUT 3. JTAG 4. GAL 5. EAB 6. IP 7. HDL
library ieee; use ieee.std_logic_1164.all; entity schk is port (din, clk, clr : in std_logic; -- 串行输入数据位/工作时钟/复位信号 ab ); end schk; architecture bhv of schk is signal q : integer range 0 to 8; signal d : std_logic_vector(7 downto 0); begin d = "11100101"; -- 8位待检测预置数 process (clk, clr) begin if clr = '1' then q<= 0; else if clk'event and clk = '1' then case q is -- 8位待检测预置数 : out std_logic_vector(3 downto 0) -- 检测结果输出
山东大学数字电路校内题试题

试卷A一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分)1.将十进制数(18)10转换成八进制数是 [ ] ① 20 ② 22 ③ 21 ④ 232. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 [ ] ① m2 ② m5 ③ m3 ④ m73.一片64k ×8存储容量的只读存储器(ROM ),有 [ ] ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ]①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ]① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ] ① 只有与阵列可编程 ② 都是可编程的 ③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ]① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ] ① 倒相 ② 放大 ③ 积分 ④ 求和9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ] ① 16 ② 32 ③ 162 ④ 21610.一个64选1的数据选择器有( )个选择控制信号输入端。
[ ] ① 6 ② 16 ③ 32 ④ 64二、填空题(把正确的内容填在题后的括号内。
每空1分,共15分。
)1.已知一个四变量的逻辑函数的标准最小项表示为()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标准表示=*F ,以及=F ,使用最大项标准表示=F ,以及=F 。