武汉工程大学FPGA实验报告

合集下载

基于FPGA的数字频率计实验报告(能测占空比)

基于FPGA的数字频率计实验报告(能测占空比)

基于FPGA的数字频率计设计学院:专业:班级:姓名:学号:审阅老师:评分:目录一、课程设计目的 (3)二、设计任务 (3)三、功能要求与技术指标 (3)四、数字频率计工作原理概述 (3)五.数字频率计实现方法 (4)六.结论与误差分析 (11)七.VHDL程序: (12)一、课程设计目的熟悉EDA工具,掌握用VHDL语言进行数字系统设计的基本方法和流程,提高工程实践能力。

二、设计任务设计一数字频率计,用VHDL语言描述,用QuartusII工具编译和综合,并在实验板上实现。

三、功能要求与技术指标1.基本功能要求(1)能够测量出方波的频率,其范围50Hz~50KHz。

(2)要求测量的频率绝对误差±5Hz。

(3)将测量出的频率以十进制格式在实验板上的4个数码管上显示。

(4)测量响应时间小于等于10秒。

以上(1)~(4)基本功能要求均需实现。

2.发挥部分(1)提高测量频率范围,如10Hz~100KHz或更高、更低频率,提高频率的测量绝对值误差,如达到±1Hz。

(2)可以设置量程分档显示,如X1档(显示范围1Hz~9999Hz),X10档(显示范围0.001KHz~9.999KHz),X100档(显示范围0.100KHz~999.9KHz)...可以自定义各档位的范围。

量程选择可以通过按键选择,也可以通过程序自动选择量程。

(3)若是方波能够测量方波的占空比,并通过数码管显示。

以上(1)~(3)发挥功能可选择实现其中的若干项。

四、数字频率计工作原理概述1.数字频率计简介在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。

而数字频率计是采用数字电路制成的实现对周期性变化信号的频率的测量。

2.常用频率测量方法:方案一采用周期法。

通过测量待测信号的周期并求其倒数,需要有标准倍的频率,在待测信号的一个周期内,记录标准频率的周期数,这种方法的计数值会产生最大为±1个脉冲误差,并且测试精度与计数器中记录的数值有关,为了保证测试精度,测周期法仅适用于低频信号的测量。

FPGA实验三七段数码管静态与动态显示实验报告

FPGA实验三七段数码管静态与动态显示实验报告

FPGA实验三七段数码管静态与动态显示实验报告实验目的:通过FPGA实现七段数码管的静态与动态显示,在FPGA上可实现对任意数字的显示和计数功能。

实验原理:七段数码管是一种能够显示数字的晶体管数字显示器件,它由七个LED数码管组成,每个数码管分别由a、b、c、d、e、f、g七个LED组成。

通过控制每个LED的亮灭情况,可以对任意数字进行显示。

七段数码管的静态显示是指每个数字的显示都是固定的,而动态显示则是通过快速地刷新七段数码管的显示,使得数字像是在变化。

在FPGA 中,可以通过时钟信号和计数器实现刷新,从而实现数字的动态显示。

实验过程:首先,将FPGA和七段数码管连接,在FPGA上选择适当的引脚连接到a、b、c、d、e、f、g七个数码管。

在FPGA中创建工程,并添加适当的引脚约束,以实现与七段数码管的连接。

然后,根据需要选择静态或动态显示。

静态显示:静态显示的原理是通过直接控制每个LED的亮灭情况,使得每个数字都可以被显示出来。

首先,需要定义每个数字对应的LED的状态(亮灭),例如数字0对应的LED状态可能为(1,1,1,1,1,1,0)等。

然后,通过FPGA的逻辑电路实现对应数字的显示。

动态显示:动态显示的原理是通过快速地刷新显示,使得数字在若干个数码管中切换,从而造成数字变化的视觉效果。

这里需要使用时钟信号和计数器来控制刷新。

首先,需要设计一个计数器,它的计数范围应该与显示数字的个数相同。

然后,通过时钟信号让计数器开始计数,并根据计数器的值选择对应的数字显示在七段数码管上。

通过控制计数器的计数速度和刷新频率,可以实现数字的动态显示。

实验结果:经过实验,我们成功地实现了七段数码管的静态显示和动态显示。

在静态显示中,我们可以通过FPGA的逻辑电路对七段数码管的每个LED进行控制,从而实现任意数字的显示。

在动态显示中,我们通过时钟信号和计数器实现了刷新功能,使得数字在七段数码管中快速地切换,从而呈现出动态的显示效果。

FPGA_三人投票表决器实验报告

FPGA_三人投票表决器实验报告

实验报告实验名称三人投票表决器的实现实验目的1) 熟练掌握QUARTUSI的操作方法2) 了解VHDL程序书写基本语法规则3) 熟悉原理图绘制的方法4) 学会波形仿真验证结果实验原理设开关状态0,1代表三人投票情况si,s2, s3,二极管的亮灭代表投票结果win,lose。

画卡诺图(表1):化简卡诺图得:win=s1s2+s2s3+s1s3贝U: lose=~win四.实验过程1) 创建工程2) 新建VHDL文件,输入程序,结果见图表1:蘇vcie_3LvhdLibraryU5& ieee»std_loc_l16 encicy vcte_3 X5 port (si:3td_logic;s2:in std _logic;33:m 3tci_loolc;win s ino^it logic;lose? inout std_loaiG):■rehit*eture of 3 —begxn-( o l and s2 )O£and J S)OH|al and a<3} jlo netend ane;图表1FflEt tris [y D I B _3 I Fane ' of 'T 土 w di*- _ct-皿1口 I Engf 蛋* P TEi Nets4)创建波形仿真文件,设置s1,s2,s3的波形,进行仿真,查看结果见图 表3:BO 0 M. Ms JHanrLrmrmrLrLnrLrmn —rLmdrLn —rLrLmmnr141^j _Lnj_rr _LTLLJ _图表35)投票表决器除了可以用 VHDL 语言实现外还可以用原理图进行实现,见图 表4:5) 用原理图法的实现接下来步骤同3)、4)3)编译工程,查看RTL 见图表2 旬承I TI T rri^La:ItJnL 329 DTir .^r1?2 帕 ^1'.46) 引脚分配7) 下载到试验箱,看结果五.实验总结注意事项:1) 修改完成VHDL文件或原理图文件后在进行下一步之前要对整个工程进行编译构建2) 在波形仿真的时候选择好每个引脚的波形后按波形仿真按钮而不是编译按钮3) 在同一个工程下各个新建文件必须与工程同名欢迎您的下载,资料仅供参考!致力为企业和个人提供合同协议,策划案计划书,学习资料等等打造全网一站式需求。

FPGA频率计实验报告

FPGA频率计实验报告

数字频率计实验报告数字频率计用VHDL语言设计实现基于FPGA的数字频率计学校:学院:姓名:学号:实验室:实验日期:摘要本文介绍了一种基于FPGA的数字频率的实现方法。

该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。

该设计的频率计有三种闸门选择,分别是1s、0.1s、0.01s,能较准确的测量频率在10Hz到10MHz之间的信号。

使用ModelSim仿真软件对各个模块的VHDL程序做了仿真,对各个模块的功能进行了测试,并完成了综合布局布线,最终下载到芯片上取得了良好测试效果。

关键词:VHDL、频率计、 FPGA、测量目录第一章引言 ..................................... 错误!未定义书签。

第二章基于FPGA的VHDL设计流程 . (3)2.1概述 (3)2.2VHDL语言和V ERILOG HDL介绍 (3)2.2.1 VHDL的特点 (4)2.3FPGA介绍 (5)第三章数字频率计的软件开发环境 ................. 错误!未定义书签。

3.1开发环境 (6)3.2M ODEL S IM介绍 (6)3.3ISE介绍 (5)第四章数字频率计的设计与实现 (7)4.1任务要求 (7)4.2测量原理................................... 错误!未定义书签。

4.3设计方案与系统需求 (7)4.4各模块的功能及实现 (9)4.4.1 分频器 (9)4.4.2 闸门选择器 (10)4.4.3 测频控制器............................. 错误!未定义书签。

4.4.4 计数器................................. 错误!未定义书签。

4.4.5 锁存器................................. 错误!未定义书签。

4.4.6 显示控制系统........................... 错误!未定义书签。

FPGA实验报告整理版.doc

FPGA实验报告整理版.doc

目录实验一分频器实验三 ADC0809 模数转换实验实验二七段数码管扫描显示实验四双向移位寄存器实验一分频器一、实验目的1.学习分频器的设计,进一步了解、熟悉和掌握FPGA开发软件Quartus II 的使用方法2.学习Verilog HDL 和VHDL的编程方法3.学会使用Vector Wave功能仿真4.掌握使用多种方法分配管脚二、实验内容编写一个分频器的Verilog 代码和VHDL代码并仿真,编译下载验证三、实验原理在数字电路中,时钟信号的分频是很常见的电路。

分频器除了可以对时钟信号频率做除以二的计算外,分频器同时很类似涟波计数器。

涟波计数器是计数器的一种,它属于异步设计。

因为触发器并非皆由同一个时钟信号同步操作,所以它非常节省电路面积。

本实验要设一个带选择的分频时钟,SEL[1:0]用于选择是几分频。

分频器设原理框图如图1所示:图1 分频器原理图从原理图中可见,核心板的时钟是50MHz ,通过sel[1:0]选择分频数,00:不分频;01:12.5M分频;10:25M四分频;11:50M分频。

采用SW1 ‐SW2 设置分频值,SW3 复位。

LED1为时钟的输出,通过调整SW1 、SW2 ,可以得到不同的闪烁频率。

引脚分配情况如表1所示表1 引脚分布情况四、实验步骤1.新建工程,取名为frediv ,如下图2所示。

图2 新建工程2.新建VHDL设计文件,选择“File|New ”,在New 对话框中选择Device Design Files下的VHDL File,单击OK,完成新建设计文件。

3.在新建设计文件中输入VHDL程序。

4.生成“Symbol ”文件,新建“Block Diagram/Schematic File”文件,在文件中添加刚刚生成的“Symbol ”以及输入输出管脚,最后完整的系统顶层模块图如图3 所示。

图3 顶层模块图5.保存文件,使用qsf或者tcl 进行管脚分配(相应的文件在本工程里面都可以找到)。

FPGA 实验报告

FPGA 实验报告

FPGA 实验任务书—实验一课程名称FPGA 适用专业微电子、通信实验项目FPGA 最小系统—认识D E2 开发板实验课时 2实验地点6505 实验时间11 周周四7、8 节一、实验目的:1.认识F PGA 芯片,熟悉其外围接口、连接方式及其功能。

2.利用控制面板测试D E2 开发板,进一步熟悉F PGA 功能。

二、实验内容:1.认识D E2 开发板中F PGA 芯片和所有外围接口。

1)请在板子上找出以下内容:2)打开电源,观察板子的反应,与接电源之前有何不同?答:所有LED都在闪烁,7段数码管显示数字0到F。

2.运行DE2的控制面板,对DE2进行测试。

测试内容包括:7-SEG、PS/2、LED、LCD、SDRAM/SRAM/FLASH、VGA、LINE OUT。

三、实验步骤:1. 打开实验板包装,逐一认识各个接口、电路和芯片。

2. 将实验板连接入P C,上电。

观察实验板的反应。

3.打开Q uartus II,运行第一个程序。

打开控制面板,逐一对主要外围接口进行测试,并记录。

4.运行 DE2_control_panel 目录下的 DE2_Control_Panel.exe,Open->Open_USB_port,下面即可对开发板进行测试了;图 2- 4 DE2 Control Panel5.PS2 和 7-SEG 的测试。

在开发板的插上键盘,输入字符即可显示在上图文本框中;设置HEX0 到 HEX7 的数字,点击 Set,开发板上相应位置的数码管显示相应数字。

6.LED 和 LCD 的测试。

如同上一步。

7.VGA 测试。

将一台显示器数据线连接到开发板的 VGA 口上。

选择 SRAM,将 File Length单选框选中。

点击下面的 Write a File to SRAM,打开DE2_demonstration\pictures\picture.dat;100%完成。

如下图示选 VGA 项。

FPGA实验报告5篇

FPGA实验报告5篇

FPGA实验报告5篇第一篇:FPGA实验报告FPGA实验报告专业:XXX 姓名:XXX 学号:XX一:实验目的1.熟悉Modelsim和Quartus II软件的运行环境和使用2.熟练使用Quartus II仿真软件生成网表。

3.熟悉FPGA前仿真和后仿真的整个流程。

二:实验内容编写counter计数器,在Quartus II仿真软件中生成网表,再在Modelsim中进行后仿真。

三: 实验步骤1.在Modelsim编写源程序(counter计数器及激励),编译源文件,确保程序的正确性,并进行前仿真,生成波形图如下:附:源程序如下:module counter(q,clk,reset);input clk,reset;output [3:0] q;reg [3:0] q;always @(posedge reset or negedge clk)if(reset)q <= 4'b0;elseq <= q + 1;endmodule module top;reg CLK,RESET;wire [3:0] Q;counter c1(Q,CLK,RESET);initialCLK=1'b0;always#1 CLK=~CLK;initial$monitor($time,“Q=%d”,Q);initialbeginRESET=1'b1;#5 RESET=1'b0;#180 RESET=1'b1;end endmodule 2.新建文件夹,将源程序counter.v放进去。

然后启动Quartus II仿真软件,生成网表。

1).在【File】下拉菜单中选中New Project Wizard选项,出现对话框。

并指定工程工作目录、工程名称和顶层模块名,如图(a)所示。

2).添加(Add)counter.v文件。

如图(b)所示。

3).选择器件系列4).指定其它EDA工具,如图(d)所示。

《FPGA系统设计》实验报告》时序逻辑电路的设计

《FPGA系统设计》实验报告》时序逻辑电路的设计

《FPGA系统设计》实验报告》时序逻辑电路的设计
一、设计任务
分别设计并实现锁存器、触发器的VHDL模型。

二、设计过程
1、同步锁存器:
同步锁存器是指复位和加载功能全部与时钟同步,复位端的优先级较高。

下图为同步锁存器的VHDL程序及模型:
2、异步锁存器:
异步锁存器,是指复位与时钟不同步的锁存器。

下图为同步锁存器的VHDL程序及模型:
3、D触发器:
D触发器是最常用的触发器。

下图为简单D触发器的VHDL 模型:
4、T触发器:
T触发器的特点是在时钟沿处输出信号发生翻转。


照有无复位、置位信号以及使能信号等,T触发器也有多种类型。

下图为带异步复位T触发器的VHDL模型:
5、JK触发器:
JK触发器中,J、K信号分别扮演置位、复位信号的角色。

为了更清晰的表示出JK触发器的工作过程,以下给出JK触发器的真值表(如表1所示)。

表1 JK触发器真值表
按照有无复位、置位信号,常见的JK触发器也有多种类型,下图带异步复位(clr)、置位(prn)的JK触发器的VHDL模型:
三.总结
本次实验中较为顺利,在第一次课的时间内我就已经完成了必做实验与选作实验。

在实验的过程中,在防抖电路处有了较大的困难。

由于仿真中不存在此问题,在实际操作中参数选择时遇到了一定的困难。

在反复比对效果之后,我
确定了电路的参数,实现了防抖功能。

通过这次实验,我对时钟脉冲、计数器等有了更加深入的认识与理解。

fpga实训报告

fpga实训报告

fpga实训报告1、实训背景本次FPGA实训旨在提升学员对于FPGA(Field-Programmable Gate Array)的了解和应用能力。

通过实际操作,加深对FPGA结构、原理和编程的理解,提高在数字电路设计和嵌入式系统开发中的实践能力。

2、实训目标2.1 掌握FPGA的基本原理和工作原理;2.2 熟悉FPGA的开发环境和常用开发工具;2.3 学会使用硬件描述语言(HDL)进行FPGA的设计和编程;2.4 利用FPGA实现数字电路设计和嵌入式系统开发。

3、实训过程与方法本次FPGA实训采用了以下步骤和方法:3.1 理论学习:通过课堂讲解和学习资料,学习FPGA的基本概念、原理和应用领域;3.2 实验操作:通过实验指导书,按照实验流程进行FPGA开发环境的搭建、FPGA设计和编程的实际操作;3.3 问题解决:在实验过程中遇到问题时,通过查阅资料、请教老师和同学等方式解决,保证实验的顺利进行;3.4 实验总结:每个实验结束后,撰写实验总结报告,总结所学到的知识和经验。

4、实训内容4.1 FPGA基础知识学习:包括FPGA的定义、特点、结构和工作原理等内容;4.2 FPGA开发环境搭建:包括安装开发软件、连接开发板和计算机等操作;4.3 硬件描述语言(HDL)的学习和应用:包括Verilog和VHDL的基本语法、模块化设计和状态机的实现等;4.4 FPGA设计和编程实验:包括数电实验、数字系统设计和嵌入式系统开发等;4.5 实验总结和报告撰写:对每个实验进行总结,包括实验目的、步骤、结果和心得体会等。

5、实训成果通过本次FPGA实训,学员们取得了一定的成果:5.1 提高了对FPGA的理解和应用能力;5.2 熟悉了FPGA开发环境和常用工具的使用;5.3 学会了使用HDL进行FPGA设计和编程;5.4 实现了数字电路设计和嵌入式系统开发等应用。

6、实训心得本次FPGA实训让我对FPGA有了更深入的了解,通过实际操作,我不仅学会了使用FPGA开发环境和编程工具,还实现了一些具体的应用。

fpga流水灯设计实验报告

fpga流水灯设计实验报告

fpga流水灯设计实验报告一、实验目的通过此实验进一步了解,熟悉FPGA开发软件的使用方法及,的编程方法:学习简单时序电路的设计。

二、实验原理和内容实验内容:在实验板上实现LED1~LED8发光二极管流水灯显示实验原理:在LED1~LED8引脚上周期性地输出流水数据,如原来输出的数据是则表示点亮LED1,LED2流水一次后,输出数据应该为,而此时则应点亮LED1-LED3三个LED发光二极管,这样就可以实现LED流水灯,为了观察方便,流水速率最好在2Hz左右。

在QuickSOPC核心板上有48MHz的标准时钟源,该时钟脉冲CLOCK与芯片的28脚相连,为了产生2Hz的时钟脉冲,在此调用了一个分频模块intdiv模块,通过修改分频系数来改变输出频率,int-div模块是一个占空比为50%的任意整数分频器。

三、实验步骤1、启动QuartusⅡ建立一个空白工程,然后命名为。

2、新建VerilogHDL源程序文件,输入程序代码并保存,然后进行综合编译。

若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。

3、从设计文件创建模块,由生成名为的模块符号文件。

4、将光盘中EDA-Component目录下的和拷贝到工程目录。

5、新建图形设计文件命名为并保存。

在空白处双击鼠标左键,在Symbol对话框左上角的中,分别将project下的ledwater和int-div模块放在图形文件中,加入输入,输出引脚,双击各引脚符号进行引脚命名。

将与ledwater模块led[7.0]连接的引脚命名为led[7..0],与int-div模块clock连接的引脚命名为clock,int-div模块的clk-out与ledwater模块的clk相连接。

6、选择目标器件并对相应的引脚进行锁定,在这里所选择的器件为Altera公司Cyclone系列的EPIC12Q240C8芯片,引脚锁定方法见下表,将未使用的引脚设置为三态输入。

《FPGA设计与应用》实验指导书全(Verilog版)

《FPGA设计与应用》实验指导书全(Verilog版)

《FPGA设计与应用》实验指导书某某编武汉理工大学华夏学院2011年9月前言一、实验课目的数字电路与系统设计实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及FPGA的基本原理、基带传输系统的设计、Uart串口控制器电路的设计、PS/2接口的设计、VGA显示接口设计。

要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog语言的编程,掌握数字电路和系统的设计。

通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。

培养学生使用Basys 2开发板的能力以及运用实验方法解决实际问题的能力。

二、实验要求:1.课前预习①认真阅读实验指导书,了解实验内容;②认真阅读有关实验的理论知识;③读懂程序代码。

2.实验过程①按时到达实验室;②认真听取老师对实验内容及实验要求的讲解;③认真进行实验的每一步,观察程序代码与仿真结果是否相符;④将实验过程中程序代码和仿真结果提交给老师审查;⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。

3.实验报告①按要求认真填写实验报告书;②认真分析实验结果;③按时将实验报告交给老师批阅。

三、实验学生守则1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西;2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件;3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线;4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。

目录实验一Uart通用串口接口的设计 (4)实验二PS/2接口的设计 (28)实验三VGA显示接口设计 (30)附录一 basys 2开发板资料 (36)实验一 Uart串口控制接口电路的设计一、实验目的1.掌握分频模块的设计方法。

《FPGA系统设计》实验报告》交通灯控制系统的设计实验

《FPGA系统设计》实验报告》交通灯控制系统的设计实验

《FPGA系统设计》实验报告》交通灯控制系统的设计实验一 .实验目的了解交通灯及控制系统的控制及其显示模块。

二.实验要求1.交通灯从绿色变成红色时,要经过黄色的过渡,黄色灯亮的时间为5秒:2.交通灯从红色变成绿色时,不要需要经过黄色灯的过渡,直接由红色变成绿色,绿色灯点亮的时间为25秒,红色灯点亮的时间为20秒;3.各种灯点亮时,要实现时间的倒计时显示。

三.实验操作步骤假设十字路口的方向为xy两方向,对两个方向需要两个控制模块来控制交通灯的点亮,还需要时间倒计时显示,即需要显示模块,因此系统的总设计模块图由三大模块组成,分别是xy两方向的控制模块,显示模块。

其中显示模块又由三个子模块构成,分别是数码管选择模块,数据分配模块,数码管驱动模块。

控制模块的设计控制模块是控制系统的核心部分,它实现了交通灯的三种颜色的交替点亮和时间倒计时的控制。

x方向控制代码如下:LIBRARY IEEE;USE IEEE .STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CORNA ISPORT (CLK:IN STD_LOGIC;R,G,Y:OUT STD_LOGIC;TIMH,TIML:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CORNA;ARCHITECTURE CORNER OF CORNA ISTYPE RGY IS (GREEN,YELLOW,RED);BEGINPROCESS(CLK)VARIABLE A:STD_LOGIC;VARIABLE TH,TL:STD_LOGIC_VECTOR(3 DOWNTO 0);VARIABLE STATE:RGY;BEGINIF(CLK'EVENT AND CLK='1')THENCASE STATE ISWHEN GREEN=>IF A='0'THENTH:="0001";TL:="1001";A:='1';G<='1';R<='0';Y<='0';ELSEIF NOT(TH="0000" AND TL="0001")THENIF TL="0000" THENTL:="1001";TH:=TH-1;ELSETL:=TL-1;END IF;ELSETH:="0000";TL:="0000";A:='0';STATE:=YELLOW;END IF;END IF;WHEN YELLOW=>IF A='0' THENTH:="0000";TL:="0100";A:'1';Y<='1';G<='0';R<='0';ELSEIF NOT(TH="0000" AND TL="0001")THEN TL:=TL-1;ELSETH:="0000" ;TL:="0000";A:='0';STATE:=RED;END IF;END IF;WHEN RED=>IF A='0' THENTH:="0010";TL:="0100";A:='1';R<='1';Y<='0';G<='0';ELSEIF NOT(TH="0000" AND TL="0001")THEN IF TL="0000"THENTL:="1001";TH:=TH-1;ELSETL:=TL-1;END IF;ELSETH:="0000";TL:="0000";A:='0';STATE:=GREENEND IF;END IF;END CASE;END IF;TIMH<=TH;TIML<=TL;END PROCESS;END CORNER;在以上程序中,实体部分定义的输入时钟信号clk为1Hz的脉冲信号,r、g、y为接水通灯的信号,timh 和timl为时间显示信号的十位和个位值。

FPGA实训报告概述

FPGA实训报告概述

FPGA实训报告概述1. 介绍FPGA(可编程逻辑门阵列)是一种集成电路芯片,具有可编程逻辑和可重构电路的特性。

通过在FPGA上编程实现各种逻辑功能,可以使用FPGA来开发和实现各种应用。

本篇文章将对FPGA实训报告进行概述,旨在帮助读者对FPGA实训有一个整体的了解。

2. 实训目标和背景在本节中,将介绍FPGA实训的目标和背景。

FPGA实训通常旨在让学生掌握FPGA开发工具、FPGA编程语言和FPGA设计流程。

这是为了培养学生在硬件设计和嵌入式系统开发方面的能力。

FPGA实训也可以帮助学生更好地理解和应用数字电路原理和逻辑设计。

3. 实训内容和步骤在本节中,将详细介绍FPGA实训的内容和步骤。

实训内容通常包括FPGA开发工具的介绍和安装、FPGA编程语言的学习、FPGA设计流程的了解以及实际的FPGA设计和调试实践。

学生需要完成一系列的实验和项目,逐步提高他们的FPGA设计和开发能力。

4. 实训成果和应用在本节中,将讨论FPGA实训的成果和应用。

通过FPGA实训,学生可以获得一定的FPGA设计和开发能力,能够独立完成一些简单的FPGA项目。

这些能力对于从事硬件设计、嵌入式系统开发和数字电路设计等领域的工程师来说非常重要。

FPGA也被广泛应用于各种领域,如通信、图像处理、数字信号处理、人工智能等。

5. 实训反思和建议在本节中,将对FPGA实训进行反思和提出建议。

学生可以回顾整个FPGA实训的过程,总结他们在实训中遇到的问题和困难,以及他们从实训中学到的知识和经验。

还可以提出一些建议,帮助改进FPGA实训的内容和方法,以更好地促进学生的学习和成长。

观点和理解:FPGA实训是一种非常重要且实践性强的培训方式。

通过FPGA实训,学生可以不仅掌握FPGA开发工具和技术,还可以培养自己的硬件设计和嵌入式系统开发能力。

对于从事相关领域工作的人来说,掌握FPGA技术是非常有竞争力和优势的。

由于FPGA在各种应用领域的广泛应用,这也为学生提供了更多的就业机会和发展空间。

FPGA四位加法器实验报告

FPGA四位加法器实验报告

题目:含异步清0和同步使能的4位加法计数器一. 实验目的.学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL技术。

二.实验原理.如图是一含计数使能、异步复位和计数值并行预置功能4位加法计数器,4位锁存器;rst是异步清0信号,高电平有效;clk是锁存信号;D[3..0]是4位数据输入端。

ENA是使能信号,当ENA为'1'时,多路选择器将加1器的输出值加载于锁存器的数据端;当ENA为'0'时将"0000"加载于锁存器。

三.实验内容.设计一个含异步清0和同步使能的4位加法计数器;实现对输入时钟(clk)的计数。

任务分析:在RST=1,ENA=1时,系统对输入时钟进行计数,所计数值输出至OUTY(3 DOWNTO 0),当计数满15时,产生一个进位,输出至COUT,同时OUTY溢出归零;如果RST=1,ENA=0时,保持原来的计数值不变。

如果RST=0,置输出信号为0;1)异步复位,则输入信号有复位信号RST2)同步使能, 则输入信号有使能信号ENA3)要求同步的时序,则输入信号有时钟CLK在QuartusII上对下列程序进行编辑、编译、综合、适配、仿真。

module CNT4B(CLK,RST,ENA,CLK_1,RST_1,ENA_1,OUTY,COUT);input CLK,RST,ENA;output CLK_1,RST_1,ENA_1;output[3:0] OUTY;output COUT;reg[3:0] OUTY;reg COUT;wire CLK_1; wire RST_1; wire ENA_1;assign CLK_1 = CLK; assign RST_1 = RST; assign ENA_1 = ENA;always@(posedge CLK or negedge RST)begin if(!RST)begin OUTY<=4'b0000;COUT<=1'b0; endelse if(ENA)Begin OUTY<=OUTY+1;COUT<=OUTY[0] & OUTY[1] & OUTY[2] & OUTY[3]; end end endmodule四.实验步骤.1.在QUARTUSII软件下创建一工程,工程名为CNT4B,芯片名为EP2C35F672C6;2.新建Verilog语言文件,输入以上Verilog语言源程序,并将程序命名为CNT4B.vhd,保存在与工程相同的文件夹中;3.进行功能仿真、全编译、时序仿真,如出现错误请按照错误提示进行修改,保证设计的正确性。

FPGA实验报告

FPGA实验报告

Xilinx FPGA及应用实验报告(一)实验一全加器一、实验目的1、编写简单门电路的RTL级描述程序;2、创建简单电路的结构级VHDL描述程序;3、实现全加器功能,由半加器组成,以元件方式调用。

二、实验环境1、ISE软件一套;2、PC机一台。

三、实验步骤1、创建一个新的工程(1)选择“开始->所有程序->Xilinx ISE 9.1i”或直接在桌面双击Xilinx ISE 9.1i的图标,打开ISE 9.1i集成环境。

(2)在ISE中,选择菜单栏中的File->New Project 打开创建新工程界面,在Project Name 中填入工程名,在Project Location中填入工程所在文件夹。

2、编写半加器的RTL级描述和全加器的结构级描述半加器源程序为:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity half isPort ( a : in STD_LOGIC;b : in STD_LOGIC;s : out STD_LOGIC;co : out STD_LOGIC);end half;architecture Behavioral of half issignal c,d : STD_LOGIC;beginc <= a or b;d <= a nand b;s <= c and d;co <= not d;end Behavioral;波形仿真结果为:全加器源程序为:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity full isPort ( a : in STD_LOGIC;b : in STD_LOGIC;cin : in STD_LOGIC;s : out STD_LOGIC;co : out STD_LOGIC);end full;architecture Behavioral of full iscomponent halfPORT (a, b: IN std_LOGIC;s,co: OUT std_LOGIC);end component;signal u0_co,u0_s,u1_co:std_logic;beginu0:half port map(a,b,u0_s,u0_co);u1:half port map(u0_s,cin,s,u1_co);co<=u0_co or u1_co;end Behavioral;波形仿真结果为:\ 实验二12进制计数器一、实验目的1、熟悉Xilinx的ISE软件的使用和设计流程;2、初步了解VHDL的编程方法;3、使用VHDL语言创建、仿真并验证12进制计数器。

FPGA实验报告

FPGA实验报告

电气技术实践可编程逻辑器件FPGA应用开发实验报告2016年12月目录一、实验目的二、实验要求三、实验内容四、实验代码及实验结果(1)4位二进制加法计数器(2)半加器(3)LED静态显示(4)38译码器(5)点阵扫描显示(6)步进电机状态机五、实验感想六、学习并使用FPGA的心得与体会七、电气技术实践总结一、实验目的1、熟悉使用可编程逻辑器件(Altera公司FPGA Cyclone系列EP1C6Q)。

2、熟悉使用硬件描述语言VHDL。

3、掌握FPGA集成环境(Altera公司FPGA QuartusII 开发流程。

4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。

5、熟悉并掌握下载线方式和下载文件的选择。

二、实验要求1、学习并掌握文本、图形等输入和时序、功能仿真方法。

2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。

3、学习并设计各种不同状态机逻辑功能。

4、学习并设计由单一模块→较多功能模块集成→系统集成方法。

5、学习并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。

6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。

7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。

三、实验内容1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。

2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。

在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。

3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。

4、根据状态机工作特点,设计一个有一定功能效果的例程。

基于fpga的按键控制电路实验报告

基于fpga的按键控制电路实验报告

基于fpga的按键控制电路实验报告
实验目的:
1.了解FPGA芯片的基本结构和工作原理。

2.学习FPGA芯片的开发流程与设计方法。

3.掌握FPGA芯片中按键输入信号的采集方法。

4.实现基于FPGA芯片的按键控制功能。

实验器材:
1.FPGA开发板一个。

B数据线一个。

3.万用表一个。

实验步骤:
1.连接FPGA开发板和计算机。

2.打开Xilinx软件工具。

3.创建FPGA项目,设置基本参数。

4.在FPGA项目中添加VHDL源文件,编写代码实现按键采集及控制功能。

5.将编写好的代码综合并下载到FPGA芯片中。

6.按动开发板上的按键,观察LED指示灯亮灭情况。

7.调试,测试按键控制电路是否正常工作。

实验心得:
在本次实验中,我首次接触了FPGA芯片,并学习了FPGA芯片的基本结构和工作原理。

通过对Xilinx软件工具的使用,我掌握了FPGA芯片的开发流程和设计方法,并实现了基于FPGA 芯片的按键控制功能。

实验过程中,我遇到了许多问题,如代码的编写、综合、仿真和下载等方面,但经过同学和老师的帮助,我成功地完成了实验。

通过该实验,我不但增加了对FPGA芯片的理解和应用,而且也提高了自己的综合实验能力。

基于FPGA的数字电路实验报告

基于FPGA的数字电路实验报告
2.初步了解模块化设计的思想,理解累加器的工作原理,用硬件描述语言实现指定功能的累加器设计。
·4位累加器
1.设计方案
需要用两个模块,一个用来进行保存工作,即累加器,另一个用来实现数据相加,即加法器。这样即可每次把数据加到总和里,实现累加器的工作。
2.原理说明及框图
基本原理为书上的结构图。只要实现了两个主要模块,再用线网连接即可。
State1
0
0
State1
State10
State1
0
0
State10
Empty
State101
0
0
State101
State1010
State1
0
0
State1010
Empty
Empty
0
1
经过状态化简,上面状态已经为最简状态。
状态装换图:
在用HDL代码实现时,利用always块和case语句实现有限状态机。
答:用两个异或门,比较计数器的输出与一个加数的大小,若相等时则输出低电平到累加器的使能端,则可停止累加。
实验9序列检测器的设计
·实验目的
掌握利用有限状态机实现时序逻辑的方法。
1实验原理:
有限状态机(FSM)本质上是由寄存器和组合逻辑电路构成的时序电路。次态由当前状态和输入一起决定,状态之间的转移和变化总是在时钟沿进行。有限状态机分为Moore型和Mealy型。Moore型有限状态机输出仅由当前状态决定;Mealy型有限状态机输出由当前状态和输入同时决定。
·思考题
1.给出锁存器的定义,它与边沿触发器的区别是什么?
答:锁存器是一种对脉冲电平敏感的存储单元电路,它可以在特定输入脉冲电平作用下改变状态。边沿触发器只有在固定的时钟上升沿或者下降沿来临时改变状态。可以通过锁存器实现边沿触发器。

《FPGA系统设计》实验报告》简单逻辑电路设计与仿真

《FPGA系统设计》实验报告》简单逻辑电路设计与仿真

《FPGA系统设计》实验报告》简单逻辑电路设计与仿真
一、设计任务
1、掌握组合逻辑电路的设计方法。

2、掌握结构体的三种描述方式,加深FPGA设计的过程。

二、设计过程
1、在结构体中使用行为描述方式,设计一位二进制数的半加器,
两个数分别是a和b,和为s,进位为c。

行为描述
图2.1 引脚分配图
2、在结构体中使用数据流描述方式,设计一位二进制数的半加器,两个数分别是a和b,和为s,进位为c。

数据流描述方式
图2.2 引脚分配图
3、在结构体中使用结构化描述方式,设计一位二进制数的半加器,两个数分别是a和b,和为s,进位为c。

结构化描述方式
图2.3 引脚分配图
三、总结
本次实验分别用行为描述、数据流描述方式、结构化描述方式来实现,关键是在于程序代码上有所不同,接线方式并不改变。

fpga流水灯实验报告

fpga流水灯实验报告

fpga流水灯实验报告篇一:vhdl流水灯课程设计报告院系:姓名:学号:课程设计名称:指导老师:时间:摘要VHDL的特点应用VHDL进行系统设计,有以下几方面的特点。

(一)功能强大VHDL具有功能强大的语言结构。

它可以用明确的代码描述复杂的控制逻辑设计。

并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

VHDL是一种设计、仿真和综合的标准硬件描述语言。

(二)可移植性VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。

此外,通过更换库再重新综合很容易移植为ASIC设计。

(三)独立性VHDL的硬件描述与具体的工艺技术和硬件结构无关。

设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。

(四)可操作性由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

(五)灵活性VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。

使其在任何大系统的设计中,随时可对设计进行仿真模拟。

所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。

VHDL的设计结构VHDL描述数字电路系统设计的行为、功能、输入和输出。

它在语法上与现代编程语言相似,但包含了许多与硬件有特殊关系的结构。

VHDL将一个设计称为一个实体Entity(元件、电路或者系统),并且将它分成外部的可见部分(实体名、连接)和内部的隐藏部分(实体算法、实现)。

当定义了一个设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

武汉工程大学
电气信息学院
《FPGA与硬件描述语言》实验报告[ 1 ]
三、实验数据与结果分析
测试结果:
LED灯每隔一定时间闪烁一次。

实验总结
(说明:总结实验认识、过程、效果、问题、收获、体会、意见和建议。


武汉工程大学
电气信息学院
《FPGA与硬件描述语言》实验报告[ 2 ]
测试结果:
流水灯每隔一秒,从右向左点亮,当复位开关掰下时,led灯自动跳回最右边。

实验总结
(说明:总结实验认识、过程、效果、问题、收获、体会、意见和建议。


武汉工程大学
电气信息学院
《FPGA与硬件描述语言》实验报告[ 3 ]
三、实验数据与结果分析
武汉工程大学
电气信息学院
《FPGA与硬件描述语言》实验报告[ 4 ]
三、实验数据与结果分析。

相关文档
最新文档