EDA课程设计抢答器
EDA课程设计—抢答器
内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。
当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。
若抢答时间内无人抢答,则报警灯亮。
回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。
因此要完成抢答器的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、报警模块、译码模块、分频模块。
关键词:抢答鉴别封锁计数报警AbstractResponder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and press the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questions, all the keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module.KEY: Responder Identification Blockade Count Alarm一、设计要求1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0~ S3表示。
eda抢答器课程设计
eda抢答器课程设计一、课程目标知识目标:1. 学生能够理解EDA(电子设计自动化)的基本概念,掌握抢答器的设计原理;2. 学生能够运用所学知识,设计并实现一个简易的抢答器系统;3. 学生能够了解并掌握抢答器电路中各个元器件的功能及相互关系。
技能目标:1. 学生能够运用EDA软件进行电路设计,提高实践操作能力;2. 学生能够通过小组合作,提高团队协作能力和沟通能力;3. 学生能够运用所学知识解决实际问题,培养创新意识和动手能力。
情感态度价值观目标:1. 学生通过本课程的学习,培养对电子技术的兴趣,激发学习热情;2. 学生在小组合作中,学会尊重他人意见,培养团结协作的精神;3. 学生在课程实践中,认识到科技对生活的影响,增强社会责任感和创新意识。
课程性质:本课程为实践性较强的电子技术课程,旨在培养学生的动手能力和创新精神。
学生特点:学生处于初中或高中阶段,具有一定的电子技术基础和动手能力,对新鲜事物充满好奇心。
教学要求:教师需引导学生通过小组合作,运用所学知识设计并实现抢答器,注重培养学生的实践能力和团队协作精神。
在教学过程中,关注学生的个体差异,鼓励学生提问、思考,提高学生的自主学习和创新能力。
通过课程目标的分解,使学生在实践中达到预期的学习成果,为后续的教学设计和评估提供依据。
二、教学内容本课程教学内容围绕以下三个方面进行组织:1. 理论知识:- 电子设计自动化(EDA)基本概念与原理;- 抢答器的工作原理及电路设计;- 抢答器电路中各个元器件的功能及选型。
教学内容关联教材章节:第二章 电子设计自动化基础、第三章 电路设计与仿真。
2. 实践操作:- EDA软件的使用方法与操作技巧;- 抢答器电路图的绘制与仿真;- 抢答器硬件电路的搭建与调试。
教学内容关联教材章节:第四章 EDA工具使用、第五章 实践操作。
3. 小组合作与创新:- 学生分组进行抢答器设计,分工合作,共同完成项目;- 学生在实践过程中,培养团队协作、沟通能力;- 学生针对实际问题进行创新设计,提高创新意识。
EDA 课程设计 抢答器
EDA课程设计报告学号:***姓名:***指导老师:***数字抢答器设计一、设计任务与要求1.基本功能:(1)抢答器同时供8名选手比赛,可选用S0 ~ S7八个按钮表示。
(2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。
(3)抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
(4)抢答器具有定时抢答功能,即支持人根据题目的难易课设置抢答时间(如10秒)。
当主持人启动"开始"键后,定时器进行减计时。
(5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
(6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。
二、电路设计1.数字抢答器总体方框图如图(1)所示为总体方框图。
其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器显示零,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。
定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、指示灯提示。
当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。
如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。
图(1)数字抢答器总体方框图2.单元电路设计(1) 抢答器电路参考电路如图(2)所示。
该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。
工作过程:开关S置于"清除"端时,RS触发器的端均为0,4个触发器输出置0,使74LS148的=0,使之处于工作状态。
当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将按键按下时(如按下S5),74LS148的输出经RS锁存后,1Q=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为"5"。
EDA课程设计 VHDL四路智力抢答器
EDA课程设计 VHDL四路智力抢答器eda课程设计--vhdl四路智力抢答器vhdl四路智力抢答器eda课程设计一、任务与目的1.1熟练掌握eda工具软件quartus的使用1.2熟识采用vhdl硬件描述语言叙述数字电路1.3学会采用vhdl展开大规模集成电路设计1.4学习使用cpld/fpga实验系统硬件验证电路设计的正确性1.5初步掌握eda技术并具备一定的可编程逻辑芯片的开发能力二、设计题目与建议2.1设计题目四路智力竞赛抢答器2.2设计建议1.按所布置的题目要求,每一位学生独立完成全过程2.分模块层次化的设计;3.各功能模块的底层文件必须用vhdl语言设计,顶层文件可以用vhdl语言设计,也可以用原理图设计。
2.3设计内容设计一个可容纳四组参赛者同时抢答的数字抢答器。
主持人可控制系统发的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。
抢答选手确定后给出选手编号的显示,抢答选手的编号显示保持到系统被清零为止,若提前抢答则对相应的抢答组发出警告。
*拓展内容:减少答题限时的功能,在确认了答对顺利有效率后,存有主持人按下答题限时功能键,开始9s的倒计时。
在计时结束后,超时提示led闪烁。
在主持人按下clear 开关时限时复位。
三、功能分析四路智力竞赛抢答器按功能设计分为三个模块1.答对锁存模块,在主持人收到答对指令后(start=’1’),若存有参赛者按下答对按钮,则显示器显示抢答组别,同时电路处于自锁状态,使其它组的抢答器不起作用。
主持人可以用清零按钮进行清零(clr=’0’).若抢答指令未发出(start=’0’),而有参赛者按下抢答按钮,则发出警告信号(alm=1).2.表明功能模块,在参赛者答对信号(界别)收到后,对参赛者信号展开译码,然后用7段数码管显示出来。
3.计时模块,在确认了答对顺利有效率后,存有主持人按下答题限时功能键,已经开始9s的好像计时。
数字电路-EDA课程设计之五人抢答器
一、设计说明1.1 设计思路五个参赛者每人一个开关,主持人控制总开关,主持人置高电平后,系统进入准备工作。
有人正常抢答时,相应的二极管发光,有人违规抢答时,蜂鸣器响五秒。
我设计的方案由五个高低电平控制相应的发光二极管,第六个用于主持人复位。
因此把整个课题分成两个模块:抢答器、计时器。
1.2 模块介绍1.3本次设计中用了两个模块:计时器模块和抢答器模块。
计时器模块是用74160制成,用来控制蜂鸣器响应时间。
抢答器模块用五个D触发器和五个LED指示灯制成,完成第一个开关置高电平后相应灯亮并封锁其它指示灯,使其余开关置高电平后灯不亮功能。
1.3真值表1.3.1 74160十进制加法计数器A、B、C、D为数据输入端,LDN为置数端,低电平有效,CLER是清零端,低电平有效,ENT和ENP是使能端,高电平有效,CLK为时钟输入端,QA、QB、QC、QD为数据输出端,RCO为进位输出端。
图如下所示。
1.3.2 D触发器二、原理图2.1 计时器模块原理图此计时器是用74160制成5进制计数器,将CLRN与CLK用或门连接再与输出端相与。
当CLRN输入0时,QA、QB、QC输出0,输入1时,计数器开始工作。
计数到五时,输出端通过与门将CLK信号封锁,使计时器保持五不变。
2.2 抢答器模块原理图C1为置零端,主持人控制,D1到D5由每位选手控制。
L1到L5为发光二极管,主持人置低电平后, L1到L5都被置零。
当主持人置为高电平时,抢答开始,最先抢答者对应的二极管发光,通过与门将CLK信号封锁,并输入到DFF中,则其他选手在按键时,输出不会有影响,则实现了一人抢答后,其他人不能再做答。
若重新开始则主持人再按C1清零即可。
2.3总设计原理图将两个模块组合加上蜂鸣器制成总电路图,实现抢答器功能。
三、波形仿真图3.1计时器模块波形仿真图3.2 抢答器模块波形仿真图3.3总电路波形仿真图四、管脚锁定及硬件连线4.1 D1~C2管脚锁定及硬件连线D1-K为开关,管脚锁定SW8、SW7、SW6、SW5、SW4、SW3 对应引脚号PIN53、47、46、45、44、41。
(完整)EDA课程设计——数字抢答器
数字式竞赛抢答器设计要求1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用.2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。
5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。
1、总体方案设计原理及分析1.1、方案原理按照设计要求,设计一个4组参赛的抢答器,所以这种抢答器要求有四路不同组别的抢答输入信号,并能识别最先抢答的信号,直观地通过数码显示和蜂鸣报警等方式提示主持人并显示出组别;同时该系统还应有复位功能。
主持人按下系统复位键(RST),系统进入抢答状态,计分模块输出初始信号给数码显示模块并显示出初始值。
当某参赛组抢先将抢答键按下时,系统将其余三路抢答信号封锁,同时扬声器发出2-3秒的声音提示,组别显示模块送出信号给数码显示模块,从而显示出该抢答成功组台号,并一直保持到下一轮主持人将系统清零为止。
主持人对抢答结果进行确认,随后,计时模块送出倒计时计数允许信号,开始回答问题,计时显示器则从初始值开始以计时,在规定的时间内根据答题的正误来确定加分或减分,并通过数码显示模块将成绩显示出来。
计时至0时,停止计时,扬声器发出超时报警信号,以中止未回答完问题。
当主持人给出倒计时停止信号时,扬声器停止鸣叫.若参赛者在规定时间内回答完为题,主持人可给出倒计时计数停止信号,以免扬声器鸣叫。
主持人按下复位键,即RST 为高电平有效状态,清除前一次的抢答组别,又可开始新的一轮的抢答。
此抢答器的设计中采用自顶向下的设计思路,运用VHDL 硬件描述语言对各个模块进行层次化、系统化的描述,并且先设计一个顶层文件,再把各个模块连接起来。
1。
2、方案设计框图主电路 扩展功能电路图1 方案系统结构图1.3、方案各路功能分析此方案是由主体电路和扩展电路两部分构成,整个系统包括这样几个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块、译码显示模块、报警模块。
EDA课程设计报告抢答器
目录第一章 EDA技术简介 (2)第二章抢答器的设计要求 (2)第三章抢答器的设计分析 (3)第四章抢答器的抢答鉴别模块 (4)4.1抢答鉴别的功能 (4)4.2抢答鉴别模块的源程序 (4)4.3抢答鉴别模块的时序仿真图 (7)第五章抢答器的计时模块 (8)5.1计时模块的功能 (8)5.2计时模块的源程序 (8)5.3计时模块的时序仿真图 (11)第六章抢答器的计分模块 (12)6.1计分模块的功能 (12)6.2计分模块的源程序 (12)6.3计分模块的时序仿真图 (15)第七章抢答器的译码显示模块 (16)7.1译码显示模块的功能 (16)7.2译码显示模块的源程序 (16)7.3译码显示模块的时序仿真图 (17)第八章抢答器的其他功能模块 (18)8.1其他功能模块的具体信息 (18)第九章抢答器的顶层原理图设计 (19)9.1顶层原理图的源文件 (20)9.2顶层设计的时序仿真图 (21)第十章抢答器的硬件测试 (22)10.1抢答器的引脚绑定 (22)10.2抢答器的测试结果 (22)第十一章课程设计的总结 (23)参考文献 (23)12第一章 EDA技术简介第二章抢答器的设计要求在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。
同时,还可以设置计分、犯规及奖惩计录等多种功能。
本设计的具体要求是:(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3) 系统具有计分电路。
(4) 系统具有犯规电路。
系统设计方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
(成都大学)EDA课程设计题目及解决方案(抢答器)
EDA课程设计设计题目:智力竞赛抢答器一.电路功能:可满足8个组,同时参加竞赛。
抢答器复位后,数码显示为0,在竞赛主持人出完题并示意抢答开始后,每个组都可以通过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。
主持人用复位钮复位抢答器,数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下一题抢答。
二.原理框图(见附图)三.设计要求用VHDL语言描述抢答器逻辑功能,经编译后仿真,仿真波形正确后,加上段码译码器编译通过后方可在实验箱上下载,做真实电路验证。
四. 撰写设计报告给出设计方案框图,包括模块的划分,信息的传递关系;给出各模块的VHDL程序;给出每个模块的仿真波形图,并附以文字说明;写出设计的心得体会和收获。
一.结构描述法1(先锁存后编码)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY scq_8 ISPORT (g: IN STD_LOGIC_VECTOR (8 DOWNTO 1); rst, en: IN STD_LOGIC;q: OUT STD_LOGIC_VECTOR (8 DOWNTO 1)); END scq_8;ARCHITECTURE one OF scq_8 ISBEGINPROCESS ( rst, en)BEGINIF rst='0' THENq<= "11111111";ELSIF en ='1' THENq<=g;END IF;END PROCESS;END one;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bm8_4 ISPORT(d: IN STD_LOGIC_VECTOR(8 DOWNTO 1); sum: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END bm8_4;ARCHITECTURE one OF bm8_4 ISBEGINPROCESS(d)BEGINCASE d ISWHEN "11111110" => sum<="0001";WHEN "11111101" => sum<="0010";WHEN "11111011" => sum<="0011";WHEN "11110111" => sum<="0100";WHEN "11101111" => sum<="0101";WHEN "11011111" => sum<="0110";WHEN "10111111" => sum<="0111";WHEN "01111111" => sum<="1000";WHEN OTHERS => sum<="0000";END CASE;END PROCESS;END one;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECL7S ISPORT(A: IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE one OF DECL7S ISBEGINPROCESS(A)BEGINCASE A ISWHEN "0000" => LED7S <= "0111111";WHEN "0001" => LED7S <= "0000110";WHEN "0010" => LED7S <= "1011011";WHEN "0011" => LED7S <= "1001111";WHEN "0100" => LED7S <= "1100110";WHEN "0101" => LED7S <= "1101101";WHEN "0110" => LED7S <= "1111101";WHEN "0111" => LED7S <= "0000111";WHEN "1000" => LED7S <= "1111111";WHEN "1001" => LED7S <= "1101111";WHEN "1010" => LED7S <= "1110111";WHEN "1011" => LED7S <= "1111100";WHEN "1100" => LED7S <= "0111001";WHEN "1101" => LED7S <= "1011110";WHEN "1110" => LED7S <= "1111001";WHEN "1111" => LED7S <= "1110001";WHEN OTHERS => NULL;END CASE;END PROCESS;END;四.状态机描述法LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY qdq8_1 ISPORT(rst,clk: STD_LOGIC;g: IN STD_LOGIC_VECTOR(8 DOWNTO 1);q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);sq: OUT STD_LOGIC );END qdq8_1;ARCHITECTURE one OF qdq8_1 ISTYPE s IS (s0,s1);SIGNAL crt_s: s;SIGNAL sum: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(rst,clk,g)BEGINIF rst='0' THEN crt_s<=s0;ELSIF clk'event AND clk='1' THENCASE crt_s ISWHEN s0 => q<="0000";sq<='0';CASE g ISWHEN "11111110" => sum<="0001";crt_s<=s1;WHEN "11111101" => sum<="0010";crt_s<=s1;WHEN "11111011" => sum<="0011";crt_s<=s1;WHEN "11110111" => sum<="0100";crt_s<=s1; WHEN "11101111" => sum<="0101";crt_s<=s1; WHEN "11011111" => sum<="0110";crt_s<=s1; WHEN "10111111" => sum<="0111";crt_s<=s1; WHEN "01111111" => sum<="1000";crt_s<=s1; WHEN OTHERS => crt_s<=s0;END CASE;WHEN s1 => q<=sum; sq<='1';crt_s<=s1;END CASE;END IF;END PROCESS;END one;。
EDA课程设计-抢答器
燕山大学课程设计说明书题目:抢答器学院(系):电气工程学院年级专业:10级应用电子技术4班学号:学生姓名:指导教师:教师职称:实验师实验师燕山大学课程设计(论文)任务书说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。
2012年 12 月 24 日目录第一章引言 (3)第二章设计说明 (3)第三章 verilog HDL设计源程序 (5)第四章波形仿真图 (13)第五章管脚锁定及硬件连线 (16)第六章总结 (17)参考文献 (18)第一章引言EDA是电子设计自动化(Electronic Design Automation)的缩写,是20世纪90年代初以计算机硬件和软件为基本工作平台,及数据库、图形学、图论、与拓扑逻辑、计算数学、优化理论等多学科最新成果研制的计算机辅助设计通用软件包,用于电子产品的自动设计。
现在对EDA的概念或范畴用的很宽,电子、机械、通信、航空航天、化工、矿产、生物、医学、军事等各个领域都有EDA的应用。
目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。
例如,在飞机制造过程中,从设计、性能测试及特性分析到飞行模拟,都可能涉及EDA技术。
这里所指的EDA技术主要针对数字逻辑电路设计。
EDA技术研究的对象是电子设计的全过程,包括系统级、电路级、和物理级各个层次的设计。
从可编程逻辑器件和专用集成电路开发与应用角度,EDA系统包含一下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块、布局布线子模块。
第二章设计说明1.1 设计思路本次EDA课程设计的题目是抢答器。
要求实现5人抢答功能:有人抢答时蜂鸣2秒,用L1-L5表示对应参赛者指示灯;用点阵显示抢中者序号;答题时限为10秒,从有人抢答开始用数码管倒计时10秒,倒计时结束后再蜂鸣两秒;主持人控制复位键,复位后进入下一轮抢答。
根据任务书的要求,当有第一个抢答信号时,将对应的端口置为高电平,同时产生锁定信号将其余抢答者的信号屏蔽,端口连接红色信号指示灯组L1-L5。
EDA课程设计—智力抢答器
燕山大学EDA课程设计报告书题目:智力竞赛抢答器姓名:班级:学号:成绩:(注:此文件应以同学学号为文件名)一、设计题目及要求设计题目:智力竞赛抢答器设计要求:1.10人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;同时用两位数码管显示抢中的选手编号(01号~10号),无人抢答时显示00。
3.有人抢答时,喇叭响两秒钟。
4.答题时限为10秒钟,从有人抢答开始,用一位数码管倒计时间,0、9、8…1、0;倒计时到0的时候,蜂鸣器响两秒。
5. 设置加分和扣分按钮,答对一题按一次加分键加2分,答错按一次扣分键扣1分;用两位数码管显示得分情况,注意:显示其中一名选手的得分即可。
二、设计过程及内容(包括:○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)1、总体设计描述:智力抢答器一共分为5个模块,分别是:抢答器,加减法计数器,倒计时器(2s 倒计时计数器,10s倒计时计数器),秒脉冲,显示选手编号模块。
主持人按下按钮,比赛开始。
每当有选手抢答时,最先抢答的选手其对应的信号灯变亮,喇叭响两秒钟,数码管显示选手编号。
同时,计数器开始倒计时,十秒钟后,答题结束。
此时,喇叭响两秒钟。
主持人可以通过开关复位。
主持人根据选手回答情况计分,按下对应的按键,加减分数,用两位数码管将显示选手的当前得分。
(只显示一名选手的得分情况)总设计图:图1图2总图分为两部分:图1为抢答、显示、延时部分,图2为计分部分总仿真图:注:aaa与111是选手号的十位与个位,j{8-5}和j{4-1}是计分的十位与个位。
2、分部模块设计描述:抢答器:主持人输入高电平时,抢答开始,任一选手输入高电平时,其对应的信号灯发亮并在数码管显示编号。
其他选手失去抢答资格。
抢答器仿真图:倒计时计数器:选手抢答成功,2s倒计时倒计2s,此时喇叭响2s,从选手信号灯亮开始倒计时,十秒后,即接收十个脉冲信号之后,发一个脉冲给2s倒计时计数器,同时喇叭响2s。
EDA课程设计抢答器(可编辑修改word版)
内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。
当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。
若抢答时间内无人抢答,则报警灯亮。
回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。
因此要完成抢答器的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、报警模块、译码模块、分频模块。
关键词:抢答鉴别封锁计数报警AbstractResponder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and press the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questions, all the keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module.KEY: Responder Identification Blockade Count Alarm一、设计要求1.抢答器同时供 4 名选手或 4 个代表队比赛,分别用 4 个按钮 S0~ S3 表示。
eda课程设计抢答器
1.跨学科融合:探讨抢答器设计与制作的跨学科元素,如融入物理知识、数学逻辑等;
2.环保与节能:引导学生思考如何在抢答器设计中融入节能环保理念,减少能耗;
3.用户交互体验:分析抢答器用户交互界面设计,提高用户操作便捷性和体验感;
4.智能化升级:介绍如何运用人工智能技术,如语音识别、物联网技术,对抢答器进行智能化升级;
5、教学内容
1.项目管理与团队协作:教授学生如何进行项目管理和团队协作,以高效完成抢答器的设计与制作;
2.专利申请与知识产权:介绍如何保护自己的设计成果,引导学生了解专利申请的基本流程;
3.标准化与工业化:讲解产品设计中的标准化和工业化要求,为将来的产品大规模生产做准备;
4.设计规范与标准:学习相关的设计规范和标准,确保抢答器的安全性和可靠性;
3、教学内容
1.进阶功能添加:引导学生思考如何为抢答器添加计时、计分等进阶功能;
2.电路扩展:探讨如何实现多路抢答,增加抢答器的参与人数;
3.知识点讲解:详细讲解EDA软件中的编程语言,如Verilog或VHDL,以及与微控制器的接口技术;
4.创新设计:鼓励学生发挥创意,设计具有个性化的抢答器电路,探讨如何提高其稳定性和响应速度;
2.代码编写:结合EDA软件,如Multisim或Proteus,编写抢答器控制程序;
3.仿真实验:利用EDA软件进行抢答器电路的仿真,验证程序的正确性;
4.硬件与软件结合:将编写好的程序烧录至微控制器,实现硬件与软件的结合;
5.展示与答辩:组织学生进行项目展示和答辩,提高学生的表达能力和自信心;
6.教学反馈:收集学生对本课程的意见和建议,不断优化教学方法,提升教学质量;
7.课后拓展:布置相关的课后拓展任务,鼓励学生深入研究,将所学知识内化于心;
EDA课程设计--四人智力竞赛计数抢答器
显示程序需要实现倒计时功能,倒 计时结束后,抢答器停止工作,并 显示得分。
声音报警程序
功能:当抢答器检测到有人抢答时,发出声音报警 设计思路:使用声音播放模块,当检测到有人抢答时,播放报警声音 实现方法:使用Python的pygame库,实现声音播放功能 注意事项:确保声音报警声音足够响亮,能够引起注意,同时避免声音过大导致干扰其他设备
兼容性测试:验证计数抢答器 在不同硬件和软件环境下的兼
容性
用户体验测试:评估用户界面 和操作流程的友好性和易用性
测试结果分析
测试环境:实 验室环境
测试设备:四 人智力竞赛计
数抢答器
测试方法:手 动测试和自动
测试
测试结果:抢 答器功能正常, 计数准确,响
应速度快
改进与优化
硬件优化方案
采用模块化设计,提高系统的稳定性和可维护性 优化电路布局,减少电磁干扰和信号损失 选用低功耗、高可靠性的元器件,降低系统功耗和故障率 增加散热措施,提高系统的散热效率和稳定性
软件调试
调试工具:使用调试器进行代 码调试
调试方法:单步调试、断点调 试、条件调试等
调试技巧:观察变量值、查看 堆栈信息、分析错误日志等
调试目标:发现并修复软件中 的错误和漏洞,提高软件稳定 性和性能。
整体测试
性能测试:测试计数抢答器 的响应速度和稳定性
功能测试:验证计数抢答器 的基本功能是否正常
的编号。
如果有多个参赛者同时按下按 钮,抢答器会显示错误信息,
重新开始计时。
抢答器的应用场景
学校课堂:用于课堂问答、知识竞 赛等活动
综艺节目:用于电视节目、网络直 播等活动
添加标题
添加标题
添加标题
eda抢答器课程设计
eda抢答器课程设计一、课程目标知识目标:1. 让学生理解EDA(电子设计自动化)的基本概念,掌握抢答器的工作原理;2. 使学生掌握抢答器电路的设计方法,了解相关电子元件的功能及使用方法;3. 帮助学生理解抢答器程序设计的基本思路,学会运用编程软件进行程序编写和调试。
技能目标:1. 培养学生动手操作能力,能独立完成抢答器电路的搭建和调试;2. 提高学生的团队协作能力,学会与他人共同分析和解决问题;3. 培养学生运用所学知识解决实际问题的能力,激发创新思维。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情,树立正确的学习态度;2. 增强学生的自信心,培养面对挑战勇于尝试的精神;3. 培养学生的集体荣誉感,提高团队协作意识。
分析课程性质、学生特点和教学要求,本课程目标旨在让学生掌握EDA抢答器的设计与制作,结合学生的年级特点,注重理论与实践相结合,培养学生动手操作能力、团队协作能力和创新能力。
通过课程学习,使学生能够将所学知识应用于实际生活中,提高解决实际问题的能力。
同时,注重激发学生的学习兴趣,培养正确的价值观和积极的学习态度。
后续教学设计和评估将围绕以上目标展开,确保课程目标的实现。
根据课程目标,教学内容主要包括以下几部分:1. 电子设计自动化(EDA)基本概念:介绍EDA技术的起源、发展及其在现代电子设计中的应用。
2. 抢答器工作原理:讲解抢答器的基本构成、功能及工作流程。
3. 抢答器电路设计:学习相关电子元件(如开关、晶体管、继电器等)的原理与使用方法,分析抢答器电路图的绘制。
4. 抢答器程序设计:学习编程软件的使用,掌握抢答器程序设计的基本思路和编程技巧。
5. 抢答器制作与调试:动手搭建抢答器电路,进行程序编写和调试,实现抢答功能。
教学大纲安排如下:第一课时:EDA基本概念及抢答器工作原理介绍第二课时:抢答器电路元件及电路图分析第三课时:抢答器程序设计思路及编程技巧第四课时:抢答器电路搭建与程序调试第五课时:抢答器功能测试与优化教学内容关联教材章节:第一章:电子技术概述第二章:数字电路基础第三章:编程语言与程序设计第四章:电子电路设计与实践针对本章节内容,采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:在讲解EDA基本概念、抢答器工作原理及电路元件等理论知识点时,采用讲授法进行系统讲解,使学生对课程内容有全面、深入的了解。
EDA课程设计 - 竞赛抢答器设计
课程设计任务书(2011 ~2012 学年第一学期)设计题目:EDA与数字系统课程设计学院名称:电气与自动化工程学院专业(班级):09电气五班姓名(学号):金志君(20092411)起讫日期:2011年12月指导教师:刘春、胡存刚下发任务书日期 2011 年 10月 24日合肥工业大学课程设计任务书目录中文摘要--------------------------------------------41.实验一 Max+Plus2使用练习-------------------------52.实验二 3-8译码器---------------------------------53.实验三同步十进制加法计数器----------------------64.实验四同步六十进制计数器------------------------65.实验五数码管显示--------------------------------76.实验六十二进制及六十进制计数显示----------------77.竞赛抢答器的设计----------------------------------9 结论------------------------------------------------17参考文献-----------------------------------------------------17中文摘要在现在社会中,智力竞赛作为一种生动活泼而又有趣味的活动,深为人们所喜爱,而在各种各样的竞赛之中,往往会对抢答器的功能做出诸多的要求。
基于此点,本课程设计便以竞赛抢答器的设计为最终目的,从利用MAX+plus2进行简单的图形设计,到对数字系统设计进行仿真、下载;从利用VHDL语言进行电路编制,到对竞赛抢答器的设计、运用,本课程设计对有关的知识均有一定的叙述。
首先是对EDA 中的简单图形的输入、编译、仿真以及下载的论述,其次是3-8译码器、同步十进制加法计数器与同步六十进制计数器等的图形设计的介绍,第三是本课程设计的重点,即竞赛抢答器的设计。
EDA课程设计报告书抢答器
第一章 EDA技术简介随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。
经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。
为了克服上述困难,加拿大Interactive Image Technologies公司推出的基于Windows 95/98/NT操作系统的EDA软件(Electronics Workbench“电子工作台”,EWB)。
他可以将不同类型的电路组合成混合电路进行仿真。
EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。
目前已在电子工程设计等领域得到了广泛地应用。
与目前流行的电路仿真软件相比较,EWB具有界面直观、操作方便等优点。
他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。
此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。
通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。
1.1 FPGA简介FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点[2]。
目前以硬件描述语言(Verilog 或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA 上进行测试,是现代 IC 设计验证的技术主流。
eda课程设计抢答器
eda课程设计抢答器一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握抢答器的设计原理;2. 学生能掌握抢答器电路的组成、功能及工作原理;3. 学生了解抢答器涉及的相关电子元器件,如按钮、LED、锁存器等,并理解它们在电路中的作用。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的抢答器电路;2. 学生通过实践操作,提高电路连接、调试和故障排除的能力;3. 学生能够运用EDA软件进行电路设计和仿真,提高电子设计的技能。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识和实践能力;2. 学生在团队协作中,学会沟通、分享和承担责任;3. 学生在课程学习中,认识到科技发展对社会进步的重要性,培养积极的社会责任感。
课程性质:本课程为实践性较强的电子技术课程,结合学生特点,注重理论知识与实际操作相结合。
学生特点:学生具备一定的电子基础,具有较强的求知欲和动手能力,喜欢探索新事物。
教学要求:教师需关注学生个体差异,引导学生在实践中掌握理论知识,培养学生的创新精神和实践能力。
通过分解课程目标为具体的学习成果,为教学设计和评估提供明确的方向。
二、教学内容根据课程目标,教学内容主要包括以下几部分:1. 电子设计自动化(EDA)基本概念:讲解EDA技术的定义、发展及其在现代电子设计中的应用。
- 教材章节:第二章 电子设计自动化概述2. 抢答器设计原理:介绍抢答器的工作原理、电路组成及各部分功能。
- 教材章节:第三章 抢答器设计基础3. 电子元器件及其功能:学习抢答器涉及到的按钮、LED、锁存器等元器件的特性和应用。
- 教材章节:第四章 常用电子元器件4. 抢答器电路设计与搭建:指导学生运用所学知识,设计并搭建一个简单的抢答器电路。
- 教材章节:第五章 电路设计实例5. EDA软件应用:教授学生使用EDA软件进行电路设计和仿真。
- 教材章节:第六章 EDA软件操作与应用教学进度安排:1. 第一节课:学习EDA基本概念,了解抢答器设计原理。
《EDA技术及应用》简易抢答器设计
《EDA 技术及应用》简易抢答器设计1 系统设计1.1 设计要求1.1.1 设计任务设计并制作一台简单电子抢答器。
1.1.2 性能指标要求① 用EDA 实训仪的I/O 设备和PLD 芯片实现电子抢答器的设计。
② 电子抢答器具有1只主持人按钮和8只抢答选手按钮。
③ 只有在主持人按钮按下后才开始抢答,当最先抢答的选手按钮按下后,其余选手的抢答按钮被封锁(无效)。
④ 用EDA 实训仪上的1只八段数码管显示抢答选手的序号。
1.2 设计思路及设计框图1.2.1设计思路电子抢答器具有1只主持人按钮和8只抢答选手按钮。
只有在主持人按钮按下后才开始抢答,但在开始之前主持人按钮必须拨到 “清零”状态。
当最先抢答的选手按钮按下后,数码管显示该选手的编号,并且其余选手的抢答按钮被封锁,选手开始作答。
如果第一轮抢答之后,再第二轮开始之前,主持人按钮必须拨到 “清零”状态。
1.2.2总体设计框图2 各个模块程序的设计2.1抢答模块clk是系统时钟,a,b,c,d,e,f,g,j是八个抢答按钮,低电平有效。
s是主持人按钮,高电平有效。
y是数码管显示器。
2.2原理图显示模块3 调试过程在波形仿真时,因没有设计主持人开始前清零,导致仿真出来的波形全部为零。
还有在实验室验证时,因没有注意按键,按下为低电平,松开为高电平。
而自己写的代码时,八名选手为高电平有效,而导致验证失败。
但是在仔细观察下,还是发现了这个问题并把八名选手改为低电平有效。
4 功能测试4.1 测试仪器与设备计算机和EDA实验箱5 实训心得体会通过本次课程设计,我进一步加深了对EDA技术课程的了解,并进一步熟练了对QuartusII软件的操作,虽然我选的课题比较简单,做的也不是那么复杂,但真的让我对EDA这门课程有一定影响。
EDA这门课程其实也没有想象的那么难,主要是掌握一个基本框架就很容易做出来。
在做简易抢答器时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示,最后运用我们所学的VHDL语言进行编程。
抢答器eda课程设计
抢答器eda课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握抢答器的设计原理和功能。
2. 学生能掌握抢答器电路的组成,了解各个部分的电路符号及其作用。
3. 学生能了解抢答器程序设计的基本流程,掌握相关编程知识。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的抢答器电路。
2. 学生能够编写简单的程序,实现抢答器的功能。
3. 学生通过实际操作,提高动手能力和团队协作能力。
情感态度价值观目标:1. 学生在学习过程中,培养对电子科技的兴趣和热情,提高创新意识。
2. 学生能够认识到团队合作的重要性,培养团队协作精神。
3. 学生在实践过程中,培养克服困难、解决问题的信心和毅力。
课程性质:本课程为实践性较强的课程,结合理论知识,以学生动手实践为主。
学生特点:学生具备一定的电子基础知识,对新鲜事物充满好奇,喜欢动手实践。
教学要求:教师需引导学生主动参与,注重理论与实践相结合,关注学生个体差异,鼓励学生创新思维和团队协作。
通过本课程的学习,使学生能够将所学知识应用于实际项目中,达到学以致用的目的。
二、教学内容本课程教学内容主要包括以下三个方面:1. 理论知识学习:- 电子设计自动化(EDA)基本概念及发展历程。
- 抢答器原理及其应用场景。
- 抢答器电路组成及各部分功能。
- 抢答器程序设计基本流程。
教学内容关联教材章节:第三章 电子设计自动化、第四章 数字电路设计。
2. 实践操作环节:- 搭建抢答器电路,熟悉各个部分的连接和功能。
- 编写抢答器程序,实现抢答功能。
- 调试电路和程序,解决可能出现的问题。
实践操作环节将贯穿整个课程,结合理论知识逐步进行。
3. 教学进度安排:- 第一周:学习EDA基本概念,了解抢答器原理及电路组成。
- 第二周:学习抢答器程序设计基本流程,进行电路搭建。
- 第三周:编写程序,实现抢答功能,进行调试和优化。
教学内容注重科学性和系统性,确保学生在掌握基本知识的基础上,能够顺利进行实践操作。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。
当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。
若抢答时间内无人抢答,则报警灯亮。
回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。
因此要完成抢答器的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、报警模块、译码模块、分频模块。
关键词:抢答鉴别封锁计数报警AbstractResponder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and press the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questions, all the keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module.KEY: Responder Identification Blockade Count Alarm一、设计要求1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0~ S3表示。
2.设置一个系统清除和抢答控制开关rst,该开关由主持人控制。
3.抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在LED和数码管上显示,同时提示灯亮。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如20秒)5. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示20。
二、方案设计与论证1、概述将该任务分成五个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、报警模块、分频模块、译码模块。
2、抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余的抢答信号封锁的功能。
其中有四个抢答信号s0、s1、s2、s3;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号warm。
3、抢答器计数模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行20秒的倒计时,并且在20秒倒计时后无人抢答显示超时并报警。
其中有抢答时钟信号clk1;系统复位信号rst;抢答使能信号start;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。
4、报警模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有限时间内人抢答或是计数到时蜂鸣器开始报警,计数停止信号stop;状态输出信号alm;计数脉冲clk。
5、译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。
6、分频模块:在这个模块中主要实现抢答过程中所需的时钟信号。
7、顶层文件:在这个模块中是对前五个模块的综合编写的顶层文件。
三、单元电路设计(一)抢答鉴别模块1.VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xuanshou isport(rst,clk2:in std_logic;s0,s1,s2,s3:in std_logic;states:buffer std_logic_vector(3 downto 0);light:buffer std_logic_vector(3 downto 0);warm:out std_logic);end xuanshou ;architecture one of xuanshou issignal st:std_logic_vector(3 downto 0);beginp1:process(s0,rst,s1,s2,s3,clk2)beginif rst='0' thenwarm<='0';st<="0000";elsif clk2'event and clk2='1' thenif (s0='1' or st(0)='1')and not( st(1)='1' or st(2)='1' or st(3)='1' ) then st(0)<='1';end if ;if (s1='1' or st(1)='1')and not( st(0)='1' or st(2)='1' or st(3)='1' ) then st(1)<='1';end if ;if (s2='1' or st(2)='1')and not( st(0)='1' or st(1)='1' or st(3)='1' ) then st(2)<='1';end if ;if (s3='1' or st(3)='1')and not( st(0)='1' or st(1)='1' or st(2)='1' ) then st(3)<='1';end if ;warm<=st(0) or st(1) or st(2) or st(3);end if ;end process p1;p2:process(states(0),states(1),states(2),states(3),light)beginif (st="0000") then states<="0000";elsif (st<="0001") then states<="0001";elsif (st<="0010") then states<="0010";elsif (st<="0100") then states<="0011";elsif (st<="1000") then states<="0100";end if;light<=st;end process p2;end one;2.抢答鉴别仿真图3.抢答鉴别元件图(二)计数模块1. VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity JS isport(clk1,rst,start,stop:in std_logic;ta,tb:buffer std_logic_vector(3 downto 0));end JS;architecture one of JS issignal co:std_logic;beginp1:process(clk1,rst,start,stop,ta)beginif rst='0' or stop='1' thenta<="0000";elsif clk1'event and clk1='1' thenco<='0';if start='1' thenif ta="0000" thenta<="1001";co<='1';else ta<=ta-1;end if;end if;end if;end process p1;p2:process(co,rst,start,stop,tb)beginif rst='0' or stop='1' thentb<="0010";elsif co'event and co='1' thenif start='1' thenif tb="0000" then tb<="0011";else tb<=tb-1;end if;end if;end if;end process p2;end one ;2.计数仿真图3.计数元件图(三)报警模块1. VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shengyin isport(rst:in std_logic;warn:in std_logic;clk:in std_logic;ta,tb:in integer range 0 to 9;stop:in std_logic;alm:out std_logic );end;architecture bhv of shengyin isbeginprocess(warn,ta,tb,stop,clk)beginif rst='0'thenalm<='0';elsif stop='1'thenalm<='0';elsif ta=0 and tb=0 thenalm<=clk;elsif warn='1'thenalm<=clk;else alm<='0';end if;end process;end;2.报警仿真图3.报警元件图(四)七段译码器模块1. VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY YMQ ISPORT(bcd:IN STD_LOGIC_VECTOR(3 DOWNTO 0);dout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END YMQ ;ARCHITECTURE rtl OF YMQ ISBEGINPROCESS(bcd)BEGINCASE bcd ISWHEN "0000"=>dout<="1000000";WHEN "0001"=>dout<="1111001";WHEN "0010"=>dout<="0100100";WHEN "0011"=>dout<="0110000";WHEN "0100"=>dout<="0011001";WHEN "0101"=>dout<="0010010";WHEN "0110"=>dout<="0000010";WHEN "0111"=>dout<="1111000";WHEN "1000"=>dout<="0000000";WHEN "1001"=>dout<="0010000";WHEN OTHERS=>dout<="1111111";END CASE;END PROCESS;END rtl;2.译码元件图(五)分频模块(用500HZ的时钟和1HZ的计数时钟)1.Div100library ieee;use ieee.std_logic_1164.all;entity div100 isport(clk:in std_logic;clk100:out std_logic);end div100;architecture art of div100 issignal num: integer range 0 to 99;signal temp:std_logic;beginprocess(clk)beginif clk'event and clk='1'thenif num=99 thennum<=0;temp<=not temp;else num<=num+1;end if;clk100<=temp;end if;end process;end art;仿真图:Div100元件图:2.DIV50M:这是一个50M分频,将50MHZ的信号分为1HZ。