万年历与LCD时间显示
万年历与LCD时间显示
> ds1302+LCD1602.rar > 万年历.C/******************************************************************** * 标题: xl 系列单片机实验仪1602 液晶万年历演示程序** 文件: XLLCDWNL.c ** 日期: 2006-1-5 ** 版本: 3.0 (试验通过版)可用xl600-xl1000 单片机综合试验仪试验** 作者: 部分程序参考网上修改而成,本站最终改编测试,特别对原作致敬!* * 邮箱: sxj1974@ ** 网站: ********************************************************************** *日历时钟**按K1,进入设置状态**按k2, 停止闹钟声音**按k3, 依次进入闹钟功能是否启用,闹钟时,分秒,年,月,日及时间时,分,秒的设置,**直到退出设置状态**按k4, 调整是否起用闹钟和调节闹钟时,分,秒,年,月,日,时间的时,分,秒的数字**LCD 第二排中间显示小喇叭,表示启用闹钟功能,无则禁止闹钟功能* 8888*(可在调整状态进行设置)**正常状态,LCD 上排最前面显示自定义字符,LCD 下排最前面闪动"XUELIN" * *设置状态,LCD 上排最前面显示"P", 下排最前面在设置闹钟时间时显示"alarm:", **其它状态显示"time" **年代变化2000--2099, 星期自动转换**程序中有自定义字符写入********************************************************************** * 【版权】Copyright(C) 深圳市学林电子有限公司 ** 【声明】此程序仅用于学习与参考,引用请注明版权和作者信息! ** 【技术支持】请访问/bbs/index.asp 官方论坛********************************************************************** #include <reg51.h>#include <intrins.h>unsigned char code dis_week[]={"SUN,MON,TUE,WED,THU,FRI,SAT"}; unsigned char code para_month[13]={0,0,3,3,6,1,4,6,2,5,0,3,5}; // 星期月参变数unsigned char data dis_buf1[16]; //lcd 上排显示缓冲区unsigned char data dis_buf2[16]; //lcd 下排显示缓冲区unsigned char data year,month,date,week;// 年、月、日、星期unsigned char data armhour,armmin,armsec;// 闹钟时、分、秒unsigned char data hour,min,sec,sec100;// 时、分、秒、百分之一秒unsigned char data flag,vkey,skey;// 设置状态计数标志、按键先前值、按键当前值bit alarm; //标识是否启用闹钟,1--启用,0--关闭sbit rs = P2^0; //LCD 数据/命令选择端(H/L)sbit rw = P2^1; //LCD 读/写选择端(H/L)sbit ep = P2^2; //LCD 使能控制sbit PRE = P1^0; //调整键(k3)sbit SET = P1^1; //调整键(k4)sbit SPK = P2^3;void delayms(unsigned char ms); // 延时程序bit lcd_busy(); //测试LCD 忙碌状态程序void lcd_wcmd(char cmd); //写入指令到LCD 程序void lcd_wdat(char dat); //写入数据到LCD 程序void lcd_pos(char pos); //LCD 数据指针位置程序void lcd_init(); //LCD 初始化设定程序void pro_timedate(); //时间日期处理程序void pro_display(); //显示处理程序void pro_key(); //按键处理程序void time_alarm(); //定时报警功能(闹钟)unsigned char scan_key(); //按键扫描程序unsigned char week_proc(); //星期自动计算与显示函数bit leap_year(); //判断是否为闰年void lcd_sef_chr(); //LCD 自定义字符程序void update_disbuf(unsigned char t1,unsigned char t2[],unsigned char dis_h,unsigned char dis_m,unsigned char dis_s); //更新显示缓冲区函数// 延时程序void delay(unsigned char ms){ while(ms--){ unsigned char i;for(i = 0; i< 250; i++){_nop_(); //执行一条_nop_() 指令为一个机器周期_nop_();_nop_();_nop_();}}}//测试LCD 忙碌状态bit lcd_busy(){bit result;rs = 0;rw = 1;ep = 1;_nop_();_nop_();_nop_();_nop_();result =(bit)(P0&amt;0x80); //LCD 的D0--D7 中,D7=1 为忙碌,D7=0 为空闲ep = 0;return result;}//写入指令到LCDvoid lcd_wcmd(char cmd)while(lcd_busy()); //当lcd_busy 为1 时,再次检测LCD 忙碌状态,lcd-busy 为0 时,开始写指令rs = 0;rw = 0;ep = 0;_nop_();_nop_();P0 = cmd;_nop_();_nop_();_nop_();_nop_();ep = 1;_nop_();_nop_();_nop_();_nop_();ep = 0;}//写入数据到LCDvoid lcd_wdat(char dat){while(lcd_busy()); //当lcd_busy 为1 时,再次检测LCD 忙碌状态,lcd-busy 为0 时,开始写数据rs = 1;rw = 0;ep = 0;P0 = dat;_nop_();_nop_();_nop_();_nop_();ep = 1;_nop_();_nop_();_nop_();_nop_();ep = 0;}//LCD 数据指针位置程序void lcd_pos(char pos){lcd_wcmd(pos|0x80); // 数据指针=80+ 地址码(00H~27H,40H~67H)}//设定二个自定义字符,(注意:LCD1602 中自定义字符的地址为0x00--0x07, 即可定义8 个字符)//这里我们设定把一个自定义字符放在0x00 位置(000),另一个放在0x01 位子(001)void lcd_sef_chr(){ //第一个自定义字符lcd_wcmd(0x40);lcd_wdat(0x1f);lcd_wcmd(0x41); //"01 000 001" 第2 行地址lcd_wdat(0x11); //"XXX 10001" 第2 行数据lcd_wcmd(0x42); //"01 000 010" 第3 行地址lcd_wdat(0x15); //"XXX 10101" 第3 行数据lcd_wcmd(0x43); //"01 000 011" 第4 行地址lcd_wdat(0x11); //"XXX 10001" 第4 行数据lcd_wcmd(0x44); //"01 000 100" 第5 行地址lcd_wdat(0x1f); //"XXX 11111" 第5 行数据lcd_wcmd(0x45); //"01 000 101" 第6 行地址lcd_wdat(0x0a); //"XXX 01010" 第6 行数据lcd_wcmd(0x46); //"01 000 110" 第7 行地址lcd_wdat(0x1f); //"XXX 11111" 第7 行数据lcd_wcmd(0x47); //"01 000 111" 第8 行地址lcd_wdat(0x00); //"XXX 00000" 第8 行数据//第二个自定义字符lcd_wcmd(0x48); //"01 001 000" 第1 行地址lcd_wdat(0x01); //"XXX 00001" 第1 行数据lcd_wcmd(0x49); //"01 001 001" 第2 行地址lcd_wdat(0x1b); //"XXX 11011" 第2 行数据lcd_wcmd(0x4a); //"01 001 010" 第3 行地址lcd_wdat(0x1d); //"XXX 11101" 第3 行数据lcd_wcmd(0x4b); //"01 001 011" 第4 行地址lcd_wdat(0x19); //"XXX 11001" 第4 行数据lcd_wcmd(0x4c); //"01 001 100" 第5 行地址lcd_wdat(0x1d); //"XXX 11101" 第5 行数据lcd_wcmd(0x4d); //"01 001 101" 第6 行地址lcd_wdat(0x1b); //"XXX 11011" 第6 行数据lcd_wcmd(0x4e); //"01 001 110" 第7 行地址lcd_wdat(0x01); //"XXX 00001" 第7 行数据lcd_wcmd(0x4f);//"01 001 111" 第8 行地址lcd_wdat(0x00); //"XXX 00000" 第8 行数据}//LCD 初始化设定void lcd_init(){lcd_wcmd(0x38); //设置LCD 为16X2 显示,5X7 点阵,八位数据借口delay(1);lcd_wcmd(0x0c); //LCD 开显示及光标设置(光标不闪烁,不显示"-")delay(1);lcd_wcmd(0x06); //LCD 显示光标移动设置(光标地址指针加1,整屏显示不移动) delay(1);lcd_wcmd(0x01); //清除LCD 的显示内容delay(1);}//闰年的计算bit leap_year(){bit leap;if((year>4==0&amt;&amt;year>100!=0)||year>400==0)// 闰年的条件leap=1;elseleap=0;return leap;}//星期的自动运算和处理unsigned char week_proc(){ unsigned char num_leap;unsigned char c;num_leap=year/4-year/100+year/400;// 自00 年起到year 所经历的闰年数if( leap_year()&amt;&amt; month<=2 ) //既是闰年且是1 月和2 月c=5;elsec=6;week=(year+para_month[month]+date+num_leap+c)>7;// 计算对应的星期return week;}//更新显示缓冲区void update_disbuf(unsigned char t1,unsigned char t2[],unsigned char dis_h,unsigned char dis_m,unsigned char dis_s){ dis_buf1[0]=t1; //dis_buf1[1]=0x20; //空格dis_buf1[2]=50; //'2'dis_buf1[3]=48; //'0'dis_buf1[4]=year/10+48;dis_buf1[5]=year>10+48;dis_buf1[6]=0x2d;dis_buf1[7]=month/10+48;dis_buf1[8]=month>10+48;dis_buf1[9]=0x2d; //'-'dis_buf1[10]=date/10+48;dis_buf1[11]=date>10+48;dis_buf1[12]=0x20;dis_buf1[13]=dis_week[4*week];dis_buf1[14]=dis_week[4*week+1];dis_buf1[15]=dis_week[4*week+2];dis_buf2[0]=t2[0];dis_buf2[1]=t2[1];dis_buf2[2]=t2[2];dis_buf2[3]=t2[3];dis_buf2[4]=t2[4];dis_buf2[5]=t2[5];dis_buf2[6]=0x20; //空格if (alarm)dis_buf2[7]=0x01; //alarm=1,显示闹钟启用标致(第二个自定义字符)elsedis_buf2[7]=0x20; //alarm=0,不显示闹钟启用标致dis_buf2[8]=dis_h/10+48;dis_buf2[9]=dis_h>10+48;dis_buf2[10]=0x3a; //':'dis_buf2[11]=dis_m/10+48;dis_buf2[12]=dis_m>10+48;dis_buf2[13]=0x3a;dis_buf2[14]=dis_s/10+48;dis_buf2[15]=dis_s>10+48;}//时间和日期处理程序void pro_timedate(){sec++;if(sec > 59){sec = 0;min++;if(min>59){min=0;hour++;if(hour>23){hour=0;date++;if(month==1||month==3||month==5||month==7||month==8||month==10||month== 12)if (date>31) {date=1;month++;} //大月31 天if (month==4||month==6||month==9||month==11)if (date>30) {date=1;month++;} //小月30 天if (month==2){if( leap_year()) //闰年的条件{if (date>29) {date=1;month++;}} //闰年2 月为29 天else{if (date>28) {date=1;month++;}} //平年2 月为28 天}if (month>12) {month=1;year++;}if (year>99) year=0;}}}week_proc();if (sec==armsec &amt;&amt; min==armmin &amt;&amt; hour==armhour) {if (alarm)TR1=1; //闹钟启用时,报警时间到,启动Timer1}}//显示处理程序void pro_display(){ unsigned char i;lcd_pos(0x00);for (i=0;i<=15;i++){lcd_wdat(dis_buf1[i]);}lcd_pos(0x40);for (i=0;i<=15;i++){lcd_wdat(dis_buf2[i]);}}//Timer0 中断处理程序,秒的产生void timer0() interrupt 1{TH0=0xdc; //Timer0 置10ms 定时初值dc00H(2^16=65536D,dc00H=56320D)TL0=0x00; //定时时间=(65536-56320)*(1/11.0592)*12=10ms (f0=11.0592Mhz) sec100++;if(sec100 >= 100) //1 秒时间(100*10ms=1000ms=1s){sec100 = 0;pro_timedate();// 调用时间和日期处理程序}if (sec&amt;0x01) //"XUELIN" 闪一秒,停一秒update_disbuf(0x00," ",hour,min,sec); //0x00 表示显示00 位置的自定义字符elseupdate_disbuf(0x00,"XUELIN",hour,min,sec);pro_display(); //调用显示处理函数}//按键扫描程序unsigned char scan_key(){skey=0x00; //给变量vkey 置初值skey|=PRE; //读取PRE 键的状态skey=skey<<1; //将PRE 键的状态存于skey 的B1 位skey|=SET; //读取SET 键的状态,并存于skey 的B0 位return skey; //返回skey 的键值(即PRE,SET 的状态)}//外部中断INT0 中断处理程序void int0() interrupt 0{TR0=0; //禁止Timer0IE=0; //禁止中断lcd_wcmd(0x0e); //显示光标"_", 整个光标不闪烁alarm=1;update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据,0x50 表示要显示"P"pro_display(); //调用显示处理程序lcd_pos(0x47); //使光标位于第一个调整项下flag=0;vkey=0x03;while(flag^0x0a){skey = scan_key(); //扫描按键状态if (skey^vkey) //若skey 与vkey 相同,跳出循环,相异执行循环体{ delay(10); //去按键抖动skey = scan_key(); //转回扫描按键状态if (skey^vkey) //若skey 与vkey 相同,跳出循环,相异执行循环体{ vkey=skey; //将skey 的值付给vkeyif (skey==0x01) //PRE 键按下{ flag++; //调整标志位加1switch (flag) //将光标置于相应调整位置{case 1: lcd_pos(0x49);break; //光标置小时报警设置位置case 2: lcd_pos(0x4c);break; // 光标置分钟报警设置位置case 3: lcd_pos(0x4f);break; // 光标置秒时报警设置位置case 4: update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x05);break; // 光标置年调整位置case 5: lcd_pos(0x08);break; // 光标置月调整位置case 6: lcd_pos(0x0b);break; // 光标置日调整位置case 7: lcd_pos(0x49);break; //光标置时调整位置case 8: lcd_pos(0x4c);break; // 光标置分调整位置case 9: lcd_pos(0x4f);break; // 光标置秒调整位置default:break;}}if (skey==0x02) //SET 键按下{ pro_key(); //转设置按键处理程序}}} }lcd_wcmd(0x0c); //设置LCD 开显示及光标不闪烁,不显示"-"lcd_wcmd(0x01);IE=0x8f;TR0=1;//清除LCD 的显示内容//CPU 开中断,INT0,INT1, 开中断//Timer0 启动}//主程序,初始化及初值设定void main(){lcd_init(); //初始化LCDlcd_sef_chr(); //写入自定义字符号hour=0;min=0;sec=0; //开机时的时,分,秒显示armhour=0;armmin=0;armsec=0; //开机时的时,分,秒报警初值year= 5; month=1;date=1; //开机时的年,月,日,星期显示week_proc();alarm=1; //初始开机,启用闹钟IE = 0x8f; //CPU 开中断,INT0,INT1,Timer0,Timer1 开中断IP = 0x04; //设置INT0 为中断最高优先级IT0=0;IT1=0; //外部INT0,INT1 设置为电平触发方式(注意,触发不要选边沿方式,)TMOD = 0x11; //Timer0,Timer1 工作于模式1, 16 位定时方式TH0 = 0xdc;TL0 = 0x00; //Timer0 置10ms 定时初值TH1 = 0xff;TL1 = 0x00; //Timer1 置初值TR0 = 1; //Timer0 启动TR1 = 0;while(1);}//设置按键处理程序void pro_key(){switch (flag){case 0:alarm=!alarm; //启用或关闭闹钟(alarm=1: 启用,alarm=0: 关闭)update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据pro_display(); //调用显示处理lcd_pos(0x47);break; //光标回到原调整位置case 1:armhour++;if (armhour>23) armhour=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据pro_display(); //调用显示处理lcd_pos(0x49);break; //光标回到原调整位置if (armmin>59) armmin=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec);pro_display();lcd_pos(0x4c);break;case 3:armsec++;if (armsec>59) armsec=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec);pro_display();lcd_pos(0x4f);break;case 4:year++;if (year> 99) year= 0;week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x05);break;case 5:month++;if (month>12) month=1;week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x08);break;case 6:date++;if(month==1||month==3||month==5||month==7||month==8||month==10||month== 12)if (date>31) date=1; //大月31 天if (month==4||month==6||month==9||month==11)if (date>30) date=1; //小月30 天if (month==2){if(leap_year()) //闰年的条件{if (date>29) date=1;} //闰年2 月为29 天else{if (date>28) date=1;}} //平年2 月为28 天week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x0b);break;if (hour>23) hour=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x49);break;case 8:min++;if (min>59) min=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x4c);break;case 9:sec++;if (sec>59) sec=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x4f);break;default: break ;}}//Timer1 中断处理程序,产生报警的声音void timer1() interrupt 3{TH1=0xff;TL1=0x00;SPK=~SPK;}//外部中断INT1 中断处理程序,停止报警声音void int1() interrupt 2{if(TR1)TR1=0;}。
基于stc51单片机的LCD1602显示时间的电子万年历(显示
1 课设所需软件简介1.1 Keil uVision4的简要介绍2009年2月发布Keil μVision4,Keil μVision4引入灵活的窗口管理系统,使开发人员能够使用多台监视器,并提供了视觉上的表面对窗口位置的完全控制的任何地方。
新的用户界面可以更好地利用屏幕空间和更有效地组织多个窗口,提供一个整洁,高效的环境来开发应用程序。
新版本支持更多最新的ARM芯片,还添加了一些其他新功能。
2011年3月ARM公司发布最新集成开发环境RealView MDK开发工具中集成了最新版本的Keil uVision4,其编译器、调试工具实现与ARM器件的最完美匹配。
Keil C51开发系统基本知识Keil C51开发系统基本知识1. 系统概述Keil C51软件提供丰富的库函数和功能强大的集成开发调试工具,全Windows界面。
另外重要的一点,只要看一下编译后生成的汇编代码,就能体会到Keil C51生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑,容易理解。
在开发大型软件时更能体现高级语言的优势。
下面详细介绍Keil C51开发系统各部分功能和使用。
2. Keil C51单片机软件开发系统的整体结构C51工具包的整体结构,uVision与Ishell分别是C51 for Windows和for Dos 的集成开发环境(IDE),可以完成编辑、编译、连接、调试、仿真等整个开发流程。
开发人员可用IDE本身或其它编辑器编辑C或汇编源文件。
然后分别由C51及C51编译器编译生成目标文件(.OBJ)。
目标文件可由LIB51创建生成库文件,也可以与库文件一起经L51连接定位生成绝对目标文件(.ABS)。
ABS文件由OH51转换成标准的Hex文件,以供调试器dScope51或tScope51使用进行源代码级调试,也可由仿真器使用直接对目标板进行调试,也可以直接写入程序存贮器如EPROM中。
使用独立的Keil仿真器时,注意事项:* 仿真器标配11.0592MHz的晶振,但用户可以在仿真器上的晶振插孔中换插其他频率的晶振。
万年历+温度+LCD12864显示
delay10();
if(KEY2==1)
{
delay10();
choose++;
if(choose==8)
choose=0x01;
}
}
if(KEY3==0) //按键3--处理设定时间和返回
}
}
if(flag_2==1)
read_serial(j); //读取DS1302的时间
else
write_date_time(j);
if(flag_1==1)
{
LCD_prints("Time: ") ;
LCD_printc((j[2]>>4&0x07)+0x30); //时
read_serial(j); //读取DS1302的时间
ShowNumber(2,32,j[2]>>4&0x03);
ShowNumber(2,40, j[2]&0x0f);
ShowChina(2,48,3);
ShowNumber(2,64,j[1]>>4&0x07);
sbit KEY2=P3^3;
sbit KEY3=P3^4;
sbit KEY4=P3^5;
void delay10(void)
{
unsigned char i,j;
for(i=0;i<255;i++)
for(j=0;j<200;j++);
}
unsigned char conver(unsigned char a,unsigned char b,unsigned char c)
lcd万年历显示及数字温度计
绵阳职业技术信息工程系课程实训报告课程名称:《单片机应用技术》实训题目:lcd万年历显示及数字温度计起止时间: 2010-12-5---2010-12-15 专业班级:学生姓名:小组成员:学号:指导教师:绵阳职业技术学院信息工程系2010年12月15日摘要电子万年历是实现对年,月,日,时,分,秒数字显示的计时装置,广泛用于个人家庭,车站,码头,办公室,银行大厅等场所,成为人们日常生活中的必需品。
数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远超过老式钟表。
钟表的数字化给人们生产生活带来了极大的方便,在此基础上完成的万年历精度高,功能易于扩展。
可扩展成为诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等电路。
所有这些,都是以钟表数字化为基础的。
因此,研究数字时钟及扩大其应用有着非常现实的意义。
本设计就是数字时钟简单的扩展应用并且在现实万年历的同时采用8 个数字温度传感器DS18B20 采集温度信息,通过AT89S52单片机统一发送初始化、写命令等控制信号来操作传感器,并逐一从传感器中读取温度,最后,在LCD 中循环显示温度信息;该系统还可通过键盘来设定温度的限定参数和选定特殊的显示方式,具有良好的人机界面。
实验证明,该温度检测系统具有结构简单、稳定性好、精度高等优点,值得推广。
关键词: AT89S52 单片机;万年历;蜂鸣器;数字温度检测系统;DS18B20 数字温度传感器目录第1章绪论 (3)1.1课题背景及研究意义 (3)1.2国内外现状 (3)1.3课题的设计目的 (4)1.4课题的主要工作 (4)1.5本文研究内容 (4)第二章设计要求和方案论证 (6)2.1 设计要求 (6)2.2 单片机芯片的选择方案和论证 (6)2.3 显示模块选择方案和论证 (6)2.4时钟的选择方案和论证 (7)2.5温度传感器的选择方案与论证 (7)2.6 DS18B20温度传感器与单片机的接口电路 (8)2.7 电路设计最终方案决定 (9)第三章系统的硬件设计与实现 (10)3.1 电路设计框图 (10)3.2 系统硬件概述 (10)3.3 主要单元电路的设计 (10)3.3.1 AT89S52单片机简介 (10)3.3.2 单片机主控制模块的设计 (11)3.3.4温度传感器电路设计 (13)3.3.5独立式键盘设计 (16)3.3.6液晶模块简介 (17)3.3.7液晶显示部分与AT89s52的接口 (19)3.3.8本章小结 (20)第四章系统的软件设计与实现 (28)4.1.1 系统总流程图 (21)4.1.2 温度程序流程图 (22)4.1.3 LCD显示程序流程图 (24)4.2.液晶显示程序 (28)4.3本章小结 (29)第五章仿真与调试 (30)51软件简介 (30)5.1.1 Keil软件简介 (30)5.1.2 Proteus ISIS简介 (31)5.2 Keil软件调试流程 (32)5.3 Proteus软件运行流程 (35)5.4 万年历的功能仿真 (36)5.5 硬件调试结果 (39)5.6本章小结 (40)附录 (41)附录一: (41)源程序代码: (41)附录二: (55)任务书一、设计目的、步骤及要求1、设计目的以单片机为核心,设计单片机最小系统,构成数字式温度计,能够实现实时温度的显示巩固所学知识、加强综合能力、提高软、硬件设计调试方面的能力、启发创新思维,使将相关专业课程知识综合起来,融会贯通,形成系统的概念,从而实现理论与实践相结合提高设计能力、电子线路的组装调试能力和创新能力,通过查阅资料、选定方案、设计电路、调试软件并下载到芯片中、写出完整的报告等过程,2、设计步骤内容:设计一个具有温度实时检测和动态显示功能的温度检测系统,和led动态显示图文字符的系统电路:1.LCD用于实时时钟(时、分、秒)等广告标语的显示;并能用按键进行实时调试2、利用数字温度传感器DS18B20测量温度信号,计算后在LCD上显示相应的温度值。
(完整word版)万年历(DS1302+LCD1602【程序、仿真、完整】)
基于52单片机的万年历显示(采用实时芯片DS1302芯片+LCD1602液晶显示)仿真图:/************************************************************-- THE LCD1602 DISPLAY LIB -—COPYRIGHT (C) 2014 BY LLH-— ALL RIGHTS RESERVED —-ATTENTION:延时不能过长,否则无法与DS1302同步(最好小于1ms)*************************************************************/#ifndef __LCD1602_DIS_H__#define __LCD1602_DIS_H__#define uint unsigned int#define uchar unsigned charsbit lcd_rs=P2^0;sbit lcd_rw=P2^1;sbit lcd_en=P2^2;/**********延时子程序************/void delay(uint z){uint x,y;for(x=z;x〉0;x—-)for(y=65;y〉0;y--);//60刚好和DS1302同步}/***********写命令子程序**********/void write_com(uchar com){lcd_rs=0;lcd_rw=0;P0=com;delay(1);lcd_en=1;delay(1);lcd_en=0;}/***********写数据子程序**********/void write_data(uchar date){lcd_rs=1;lcd_rw=0;P0=date;delay(1);lcd_en=1;delay(1);lcd_en=0;}/**********初始化子程序************/void init_LCD1602(){lcd_en=0;write_com(0x38); //显示模式设置:16*2显示,5*7点阵,8位数据接口write_com(0x0c); //开显示,不显示光标write_com(0x06); //指针、光标自动加一,整屏不移动write_com(0x80); //初始位置设置}/*********显示子程序***********/void display(uchar *str){while(*str!='\0’){write_data(*str);str++;}}#endifDS1302的H文件:/******************************************************************—— THE DS1302 REAL_TIME LIB —-COPYRIGHT (C) 2014 BY LLH-- ALL RIGHTS RESERVED ——ATTENTION:不能使用(i=0;i<8;i++),否则,液晶无法显示确切的时间(???);星期的显示多了一天(???)********************************************************************/#ifndef __DS1302_REAL_TIME_H__#define __DS1302_REAL_TIME_H__#define uint unsigned int#define uchar unsigned charsbit DS1302_RST=P1^5;sbit DS1302_SCLK=P1^6;sbit DS1302_IO=P1^7;sbit ACC0=ACC^0;sbit ACC7=ACC^7;typedef struct{uchar second;uchar minute;uchar hour;uchar week;uchar day;uchar month;uchar year;uchar date_str[11];uchar time_str[9];}date_time;/**************写数据定义*****************/#define DS1302_SECOND 0x80#define DS1302_MINUTE 0x82#define DS1302_HOUR 0x84#define DS1302_WEEK 0x8A#define DS1302_DAY 0x86#define DS1302_MONTH 0x88#define DS1302_YEAR 0x8C#define ST 0x00/*************单字节写数据子程序**************/void single_byte_write(uchar date){uchar i;ACC=date;for(i=8;i〉0;i--) //不能使用(i=0;i〈8;i++),否则,液晶只显示00000{DS1302_IO=ACC0;DS1302_SCLK=1;DS1302_SCLK=0;ACC=ACC>>1;}}/*************单字节读数据子程序**************/uchar single_byte_read( ){uchar i;for(i=8;i>0;i--){ACC=ACC>〉1;ACC7=DS1302_IO;DS1302_SCLK=1;DS1302_SCLK=0;}return ACC;}/**************控制字的写入****************/void write_command(uchar addr,uchar dat){DS1302_SCLK=0;DS1302_RST=0;DS1302_RST=1;single_byte_write(addr);single_byte_write(dat);DS1302_SCLK=1;DS1302_RST=0;}/**************读取芯片内部的数据****************/uchar read_data(uchar address){uchar r_data;DS1302_SCLK=0;DS1302_RST=0;DS1302_RST=1;single_byte_write(address|0x01);//若没加(或0x01)ds1302和lcd1602都无法正常显示(?)r_data=single_byte_read();DS1302_SCLK=1;DS1302_RST=0;return r_data;}/************初始化子程序************/void init_DS1302(){uchar temp;temp=read_data(DS1302_SECOND);if(temp&0x80){write_command(0x8e,0x00); //启动读写write_command(0x84,0x00);//设置24小时模式write_command(DS1302_SECOND,ST); //写入当前时间数据}}/*************读取时间、并实现BCD和十进制间的转化***************//****************************************************************十进制转8421编码:32/10 = 3*16= 48(十进制)= 30(16进制)32%10 = 230+2 = 32 * 16 + 32 % 10 = 32(8421编码)(即:a/10*10+a%10)8421编码转十进制:51 / 16 = 5 * 10(16进制) = 50(十进制)51 % 16 = 150 + 1 = 51 / 16 + 51 % 16 = 51(十进制)(即:a/16*10+a%16)*****************************************************************/void get_time(date_time *Time){uchar value;value=read_data(DS1302_SECOND);Time—〉second=(value/16)*10+(value%16);value=read_data(DS1302_MINUTE);Time—〉minute=(value/16)*10+(value%16);value=read_data(DS1302_HOUR);(完整word版)万年历(DS1302+LCD1602【程序、仿真、完整】) Time-〉hour=(value/16)*10+(value%16);value=read_data(DS1302_WEEK);Time—〉week=(value/16)*10+(value%16-1);//星期的显示数值比正确值多一value=read_data(DS1302_DAY);Time-〉day=(value/16)*10+(value%16);value=read_data(DS1302_MONTH);Time—>month=(value/16)*10+(value%16);value=read_data(DS1302_YEAR);Time-〉year=(value/16)*10+(value%16);}/**************年-月-日-星期“字符”显示***************/void date_to_str(date_time *Time){Time-〉date_str[0]=Time-〉year/10+’0’; //加’0’转化成字符Time—〉date_str[1]=Time—〉year%10+’0';Time->date_str[2]='—’;Time->date_str[3]=Time->month/10+'0';Time->date_str[4]=Time-〉month%10+'0’;Time—>date_str[5]=’—’;Time-〉date_str[6]=Time-〉day/10+'0';Time—>date_str[7]=Time—>day%10+’0’;Time-〉date_str[8]=’-’;Time->date_str[9]=Time—〉week%10+’0’;Time-〉date_str[10]='\0';}/**************时-分-秒“字符”显示***************/void time_to_str(date_time *Time){Time—>time_str[0]=Time->hour/10+’0';Time-〉time_str[1]=Time—〉hour%10+’0';Time—>time_str[2]=’-';Time—>time_str[3]=Time—>minute/10+'0’;Time—>time_str[4]=Time—>minute%10+'0’;Time->time_str[5]='—';Time->time_str[6]=Time->second/10+'0';Time->time_str[7]=Time—>second%10+'0';Time-〉time_str[8]=’\0';}#endif万年历C文件:/********************************************************* TITLE: 实时时钟FUNCTION: DS1302+LCD1602显示实时时钟WRITER: LINLIANHUO(完整word版)万年历(DS1302+LCD1602【程序、仿真、完整】) TIME: 2014-07-30REMARK: matters need attention**********************************************************/#include 〈reg51.h〉#include <string.h〉#include ”DS1302。
基于fpga的LCD显示万年历
接着我的上一篇博文,终于完善好了,前几天太忙了,没有及时上传,呵呵,今天晚上刚比较早刚好凌晨,及时上传一下,实现了从0000---9999年的时钟,其实万年历和十万年历,都是差不多,等到地球能转到9999年再改代码也不迟,哈哈!!我这里有顶层和底层文件,顶层主要是调用模块和做按键处理,具体按键防抖动原理,参见偶的以前的博文,我写完这个万年历的代码,还没来得及优化,占用了太多了逻辑门,可以进一步优化。
大致思路是:第一次按下KEY1 的时候,所有计时停止,再按KEY1,年就闪烁,按下KEY2和KEY3 进行加减。
再按KEY1,月就闪烁,按下KEY2和KEY3 进行加减........依次为调年-月-日-星期-时分秒,再次按一下KEY1,进入正常运行模式。
好了先上顶层模块module LCD(rst,clk,rw,rs,en,data,key1,key2,key3);input clk,rst;input key1,key2,key3;output rs,en,rw;output [7:0] data;reg key1_out,key2_out,key3_out;wire clk,rst;wire rs,en,rw;wire [7:0] data;disp U1(.clk(clk),.rst(rst),.rs(rs),.en(en),.rw(rw),.data(data),.key1(key1_out),.key2(key2_out),.key3(key3_out));//=============key1,key2,key3 按键防抖动================// reg key1_reg1,key1_reg2;reg key2_reg1,key2_reg2;reg key3_reg1,key3_reg2;reg [31:0] count;always @(posedge clk)begincount<=count+1;if(count==500000)begincount<=0;key1_reg1<=key1;key2_reg1<=key2;key3_reg1<=key3;endkey1_reg2<=key1_reg1;key2_reg2<=key2_reg1;key3_reg2<=key3_reg1;key1_out <= key1_reg2 & (!key1_reg1);key2_out <= key2_reg2 & (!key2_reg1);key3_out <= key3_reg2 & (!key3_reg1);endendmodule底层模块:module disp(rst,clk,rw,rs,en,data,key1,key2,key3);input clk,rst;input key1,key2,key3;output rs,en,rw;output [7:0] data;reg rs,en_sel;reg [7:0] data;reg [14:0] year;reg [7:0] shi,fen,miao,month,dat;reg [31:0]count,count1; //LCD CLK 分频计数器reg lcd_clk;//2行32个数据寄存器reg [7:0]one_1,one_2,one_3,one_4,one_5,one_6,one_7,one_8,one_9,one_10,one_11,one_12,on e_13,one_14,one_15,one_16;reg [7:0]two_1,two_2,two_3,two_4,two_5,two_6,two_7,two_8,two_9,two_10,two_11,two_12,two_ 13,two_14,two_15,two_16;reg [7:0] next;parameter state0 =8'h00, //设置8位格式,2行,5*7 8'h38;state1 =8'h01, //整体显示,关光标,不闪烁8'h0C 闪烁8'h0estate2 =8'h02, //设定输入方式,增量不移位8'h06state3 =8'h03, //清除显示8'h01state4 =8'h04, //显示第一行的指令80Hstate5 =8'h05, //显示第二行的指令80H+40Hscan =8'h06,nul =8'h07;parameter data0 =8'h10, //2行32个数据状态data1 =8'h11,data2 =8'h12,data3 =8'h13,data4 =8'h14,data5 =8'h15,data6 =8'h16,data7 =8'h17,data8 =8'h18,data9 =8'h19,data10 =8'h20,data11 =8'h21,data12 =8'h22,data13 =8'h23,data14 =8'h24,data15 =8'h25,data16 =8'h26,data17 =8'h27,data18 =8'h28,data19 =8'h29,data20 =8'h30,data21 =8'h31,data22 =8'h32,data23 =8'h33,data24 =8'h34,data25 =8'h35,data26 =8'h36,data27 =8'h37,data28 =8'h38,data29 =8'h39,data30 =8'h40,data31 =8'h41;initialbegin//第一行显示年-月-日星期//Mon Tue Wed Thur Fri Sat Sunone_1<=" "; one_2<=" "; one_3<=" "; one_4<=" "; one_5<="-"; one_6<=" "; one_7<=" "; one_8<="-";one_9<=" ";one_10<=" ";one_11<=" ";one_12<=" ";one_13<=" ";one_14<="";one_15<=" ";one_16<=" ";//第二行显示Clock:00-00-00two_1<="C"; two_2<="l"; two_3<="o"; two_4<="c"; two_5<="k"; two_6<=":"; two_7<=" "; two_8<=" ";two_9<="-";two_10<=" ";two_11<=" ";two_12<="-";two_13<=" ";two_14<="";two_15<=" ";two_16<=" ";shi<=8'd0;fen<=8'd0;miao<=8'd0;end//======================产生LCD 时序脉冲=========================== always @ (posedge clk ) //获得LCD时钟begincount<=count+1;if(count==32'd50000)begincount<=32'b0;lcd_clk<=~lcd_clk;endend//=====================产生闪烁扫描时钟===========================reg [31:0] count2;reg scan_flag;always @ (posedge clk or negedge rst) //获得校准时间选中闪烁状态beginif(!rst)beginscan_flag<=1'b0;endelsebegincount2<=count2+1;if(count2==32'd1*******)begincount2<=32'b0;scan_flag<=~scan_flag;endendend//====================产生按键标志位================================= reg [3:0] flag;always @ (posedge clk or negedge rst )beginif(!rst)beginflag<=4'b0;endelseif(key1)beginflag<=flag+1'b1;if(flag==4'b1000)flag<=4'b0000;endend//===================计时以及校准=======================================reg[3:0] week;reg[7:0] dat_flag;always @ (posedge clk or negedge rst ) //时钟计数器beginif(!rst)begin //初始化显示第一行2012-05-19 Sat 第二行:Clock:00-00-00 shi<=8'b0;fen<=8'b0;miao<=8'b0;month<=8'd5;dat<=8'd19;year<=16'd2012;week<=4'd5;count1<=1'b0;two_7<= (shi/8'd10)+8'b00110000;two_8<= (shi%8'd10)+8'b00110000;two_10<=(fen/8'd10)+8'b00110000;two_11<=(fen%8'd10)+8'b00110000;two_13<=(miao/8'd10)+8'b00110000;two_14<=(miao%8'd10)+8'b00110000;one_1<=(year/16'd1000)+8'b00110000;one_2<=((year%16'd1000)/16'd100)+8'b00110000;one_3<=((year%16'd100)/8'd10)+8'b00110000;one_4<=(year%8'd10)+8'b00110000;one_6<=(month/8'd10)+8'b00110000;one_7<=(month%8'd10)+8'b00110000;one_9<=(dat/8'd10)+8'b00110000;one_10<=(dat%8'd10)+8'b00110000;endelsebegintwo_7<= (shi/8'd10)+8'b00110000;two_8<= (shi%8'd10)+8'b00110000;two_10<=(fen/8'd10)+8'b00110000;two_11<=(fen%8'd10)+8'b00110000;two_13<=(miao/8'd10)+8'b00110000;two_14<=(miao%8'd10)+8'b00110000;one_1<=(year/16'd1000)+8'b00110000;one_2<=((year%16'd1000)/16'd100)+8'b00110000;one_3<=((year%16'd100)/8'd10)+8'b00110000;one_4<=(year%8'd10)+8'b00110000;one_6<=(month/8'd10)+8'b00110000;one_7<=(month%8'd10)+8'b00110000;one_9<=(dat/8'd10)+8'b00110000;one_10<=(dat%8'd10)+8'b00110000;// 判断是否为31天的月份if(month==8'd1||month==8'd3||month==8'd5||month==8'd7||month==8'd8||month==8'd10|| month==8'd12)dat_flag<=8'd31;// 判断是否为30天的月份else if(month==8'd4||month==8'd6||month==8'd9||month==8'd11)dat_flag<=8'd30;// 判断是否为闰年和平年else if(month==8'd2)beginif(year % 4 == 0 && year % 100 != 0 || year % 400 == 0)dat_flag<=28;else dat_flag<=27;endcase (week)//星期//Mon Tue Wed Thu Fri Sat Sun4'b0000 : //1beginone_13<="M";one_14<="o";one_15<="n";end4'b0001 : //2beginone_13<="T";one_14<="u";one_15<="e";end4'b0010 : //3beginone_13<="W";one_14<="e";one_15<="d"; end4'b0011 : //4beginone_13<="T";one_14<="h";one_15<="u"; end4'b0100 : //5beginone_13<="F";one_14<="r";one_15<="i"; end4'b0101 : //6beginone_13<="S";one_14<="a";one_15<="t"; end4'b0110 : //7beginone_13<="S";one_14<="u";one_15<="n"; endendcasecase(flag)4'b0000 :beginen_sel<=1'b1;count1<=count1+1'b1;if(count1==32'd4*******)begincount1<=1'b0;miao<=miao+1'b1;if(miao==8'd59)beginmiao<=1'b0;fen<=fen+1'b1;if(fen==8'd59)beginfen<=1'b0;shi<=shi+1'b1;if(shi==8'd23)beginshi<=1'b0;dat<=dat+1'b1;week<=week+1'b1;if(week==4'b0110)week<=1'b1;if(dat==dat_flag)begindat<=8'd1;month<=month+1'b1;if(month==8'd12)beginmonth<=8'd1;year<=year+1'b1;if(year==16'd9999)year<=16'd0; //可以计1万年endendendendendendend4'b0001 :begincount1<=32'b0;//shi<=shi;fen<=fen;miao<=miao;year<=year;month<=month;dat<=dat;week<=week;end4'b0010 : //调年begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;one_1<=8'd20;one_2<=8'd20;one_3<=8'd20;one_4<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数beginyear<=year+1'b1;if(year==16'd9999)year<=16'd0;endif(key3) //减数beginyear<=year-1'b1;if(year==16'd0)year<=16'd9999;endend4'b0011 : //调月begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;one_6<=8'd20;one_7<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endcaseif(key2) //加数beginmonth<=month+1'b1;if(month==8'd12)month<=8'd0;endif(key3) //减数beginmonth<=month-1'b1;if(month==8'd0)month<=8'd12;endend4'b0100 : //调日begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;one_9<=8'd20;one_10<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数begindat<=dat+1'b1;if(dat==dat_flag)dat<=8'd0;endif(key3) //减数dat<=dat-1'b1;if(dat==8'd0)dat<=dat_flag;endend4'b0101 : //调星期begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;one_13<=8'd20;one_14<=8'd20;one_15<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数beginweek<=week+1'b1;if(week==4'd6)week<=4'd0;endif(key3) //减数beginweek<=week-1'b1;if(week==4'd0)week<=4'd7;endend4'b0110 : //调时begincase(scan_flag)begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;two_7<= 8'd20;two_8<= 8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数beginshi<=shi+8'b00000001;if(shi==8'd23)shi<=8'b0;endif(key3) //减数beginshi<=shi-8'b00000001;if(shi==8'b0)shi<=23;endend4'b0111 : //调分begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;two_10<=8'd20;two_11<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数beginfen<=fen+8'b00000001;if(fen==8'd59)fen<=8'b0;endif(key3) //减数beginfen<=fen-8'b00000001;if(fen==8'b0)fen<=59;endend4'b1000 : //调秒begincase(scan_flag)1'b0:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;two_13<=8'd20;two_14<=8'd20;end1'b1:begincount1<=32'b0; //shi<=shi;fen<=fen;miao<=miao;endendcaseif(key2) //加数beginmiao<=miao+8'b00000001;if(miao==8'd59)miao<=8'b0;endif(key3) //减数beginmiao<=miao-8'b00000001;if(miao==8'b0)miao<=59;endendendcaseendendalways @(posedge lcd_clk )begincase(next)state0 :begin rs<=1'b0; data<=8'h38; next<=state1; endstate1 :begin rs<=1'b0; data<=8'h0e; next<=state2; endstate2 :begin rs<=1'b0; data<=8'h06; next<=state3; endstate3 :begin rs<=1'b0; data<=8'h01; next<=state4; endstate4 :begin rs<=1'b0; data<=8'h80; next<=data0; end //显示第一行data0 :begin rs<=1'b1; data<=one_1; next<=data1 ; enddata1 :begin rs<=1'b1; data<=one_2; next<=data2 ; enddata2 :begin rs<=1'b1; data<=one_3; next<=data3 ; enddata3 :begin rs<=1'b1; data<=one_4; next<=data4 ; enddata4 :data5 :begin rs<=1'b1; data<=one_6; next<=data6 ; enddata6 :begin rs<=1'b1; data<=one_7; next<=data7 ; enddata7 :begin rs<=1'b1; data<=one_8; next<=data8 ; enddata8 :begin rs<=1'b1; data<=one_9; next<=data9 ; enddata9 :begin rs<=1'b1; data<=one_10; next<=data10 ; enddata10 :begin rs<=1'b1; data<=one_11; next<=data11 ; enddata11 :begin rs<=1'b1; data<=one_12; next<=data12 ; enddata12 :begin rs<=1'b1; data<=one_13; next<=data13 ; enddata13 :begin rs<=1'b1; data<=one_14; next<=data14 ; enddata14 :begin rs<=1'b1; data<=one_15; next<=data15 ; enddata15 :begin rs<=1'b1; data<=one_16; next<=state5 ; endstate5:begin rs<=1'b0;data<=8'hC0; next<=data16; end //显示第二行data16 :begin rs<=1'b1; data<=two_1; next<=data17 ; enddata17 :begin rs<=1'b1; data<=two_2; next<=data18 ; enddata18 :begin rs<=1'b1; data<=two_3; next<=data19 ; enddata19 :begin rs<=1'b1; data<=two_4; next<=data20 ; enddata20 :data21 :begin rs<=1'b1; data<=two_6; next<=data22 ; enddata22 :begin rs<=1'b1; data<=two_7; next<=data23 ; enddata23 :begin rs<=1'b1; data<=two_8; next<=data24 ; enddata24 :begin rs<=1'b1; data<=two_9; next<=data25 ; enddata25 :begin rs<=1'b1; data<=two_10; next<=data26 ; end data26 :begin rs<=1'b1; data<=two_11; next<=data27 ; end data27 :begin rs<=1'b1; data<=two_12; next<=data28 ; end data28 :begin rs<=1'b1; data<=two_13; next<=data29 ; end data29 :begin rs<=1'b1; data<=two_14; next<=data30 ; end data30 :begin rs<=1'b1; data<=two_15; next<=data31 ; end data31 :begin rs<=1'b1; data<=two_16; next<=scan ; endscan : //交替更新第一行和第二行数据beginnext<=state4;enddefault: next<=state0;endcaseendassign en=lcd_clk && en_sel;assign rw=1'b0;endmodule。
lcd数显温度万年历电波钟
外观尺寸:29cm(宽)*18.5cm(高)可挂可摆,背面有挂孔,可挂在墙上,也可以安装随机配送的支架摆放在台面上。
电子说明书地址:/item.htm?spm=a1z09.5.0.4 0&id=16362908718功能特点:1、时间显示:时:分:秒,12/24小时制可选2、日历显示:日/月3、星期显示:英文简写4、温度显示:摄氏或华摄,范围:0℃-50℃(32℉-122℉),分辨率:0.1℃。
5、闹铃功能:可设置1个闹铃时间。
6、特殊日期提醒功能:可设置三个特殊日期提醒。
使用电源:两节AA电池(不配送电池),超省电,两节电池可使用一年以上。
使用说明:一、信号自动同步:当时钟正确装上电池后,稍等几秒,自动开始接收日本发射的无线电校时信号,接收过程中屏幕右上角显示一个闪动的信号接收塔标识。
当接收到正确的时间信号后,接收塔标识停止闪动并自动同步时间和日历信息,时钟每天会定时进行接收,无须人工干预。
如果接收不成功,时钟仍可以作为一个高精度石英钟使用。
时钟在接收信号的过程(接收塔标识闪动)中无法进行其他功能的操作,如果需要进行其它设置或取消接收,须按下‘+’键退出接收状态。
为了达到最好的接收效果,应将时钟远离其它用电器至少在1-2米以上,并可以适当转动时钟位置以获取最佳接收效果。
二、信号手动同步:在正常的时钟显示模式,长按‘+’键,强制进入信号接收状态,此时收塔标识闪动,接收过程与自动同步一样。
三、手动设定时钟、日历:在正常的时钟显示模式,长按‘CLK/CAL’键,进入时间日历设置界面,当前设置项目闪动,通过短按‘+,-’键调整数值,再短按‘CLK/CAL’确认并进入下一设置项目。
设置项目顺序:12/24时制—小时—分钟—年—月—日—时区。
四、每日闹钟设置:在正常的时钟显示模式,长按‘ALARM’键,进入闹铃设置界面,通过短按按‘+,-’键输入每天的闹铃时间,最后按‘ALARM’键确认。
在正常的时钟显示模式,短按‘-’键可以开启和关闭闹铃功能,当闹铃响时,按任意键都可以关闭闹铃。
电子时钟+万年历(LCD1602)
基于AT89S52的lcd1602显示电子时钟+万年历/**********************************************名称:基于AT89S52的lcd1602显示电子时钟+万年历编写:李松泽时间:2013.07.30**********************************************/#include<reg52.h>#define uchar unsigned char#define uint unsigned intuchar code table[]=" 2013-07-30 WED ";uchar code table1[]=" 21:14:55";uchar code table2[]=" 579259 ";uchar code table3[]="Made by Lisongze";uchar code table4[]="MONTUEWEDTHUFRISATSUN"; uchar count,s1num;char miao,fen,shi,ri,yue;uint nian;sbit lcd_rs = P0^5;sbit lcd_rw = P0^6;sbit lcd_en = P0^7;sbit s1=P3^7;sbit s2=P3^6;sbit s3=P3^5;sbit g=P3^0;void delay(uint z)//延时子函数{uint x,y;for(x=z;x>0;x--)for(y=110;y>0;y--);}void write_com(uchar com)//写命令函数{lcd_rs = 0;P2= com;delay(5);lcd_en=1;delay(5);lcd_en=0;}void write_date(uchar date)//写数据函数{lcd_rs = 1;P2= date;delay(5);lcd_en=1;delay(5);lcd_en=0;}void init()//初始化函数{int num;shi=21;fen=14;miao=55;ri=30;yue=7;nian=2013;lcd_en=0;lcd_rw=0;write_com(0x38);//显示设置模式write_com(0x0c);//00001100开显示,不显示光标,光标不闪烁write_com(0x06);//00000110地址指针加一,整屏不移动write_com(0x01);//清屏write_com(0x80);for(num=0;num<16;num++)//显示数字579259{write_date(table2[num]);delay(300);}write_com(0x80+0x40);for(num=0;num<16;num++)//显示made by lisongze{write_date(table3[num]);delay(300);}delay(5000);write_com(0x01);write_com(0x80);for(num=0;num<16;num++)//显示日期{write_date(table[num]);delay(5);}write_com(0x80+0x40);for(num=0;num<12;num++)//显示时间{write_date(table1[num]);delay(5);}TMOD=0x01;//启动定时器中断TH0=(65535-50000)/256;TL0=(65535-50000)%256;EA=1;ET0=1;TR0=1;//打开定时器}void write_sfm(uchar add,uchar date)//写时分秒函数{uchar shi,ge;shi=date/10;ge=date%10;write_com(0x80+0x40+add);write_date(0x30+shi);write_date(0x30+ge);}void write_nyr(uint add,uint date)//写年月日函数,此处若用uchar范围只有0-255 { //不够,改用uint(0-65535) uint qian,bai,shi,ge;qian=date/1000;bai=date%1000/100;shi=date%100/10;ge=date%10;write_com(0x80+add);write_date(0x30+qian);write_date(0x30+bai);write_date(0x30+shi);write_date(0x30+ge);}void write_yr(uchar add,uchar date)//写月日函数{uchar shi,ge;shi=date/10;ge=date%10;write_com(0x80+add);write_date(0x30+shi);write_date(0x30+ge);}void keyscan()//按键扫描函数{int m,n;g=0;//将矩阵键盘当做独立键盘使用if(s1==0){delay(5);if(s1==0)//按键1光标移位扫描{s1num++;if(s1num==1){ while(!s1);//等待按键松手write_com(0x80+0x40+10);TR0=0;//关闭定时器write_com(0x0f);}if(s1num==2){while(!s1);write_com(0x80+0x40+7);}if(s1num==3){while(!s1);write_com(0x80+0x40+4);}if(s1num==4){while(!s1);write_com(0x80+3);}if(s1num==5){while(!s1);write_com(0x80+6);}if(s1num==6){while(!s1);write_com(0x80+9);}if(s1num==7){while(!s1)write_com(0x80+13);}if(s1num==8){while(!s1);s1num=0;write_com(0x0c);TR0=1;//开定时器}}}if(s1num!=0){if(s2==0)//按键2加功能{delay(5);if(s2==0){while(!s2);if(s1num==1)//秒加{miao++;if(miao==60){miao=0;}write_sfm(10,miao);write_com(0x80+0x40+10);}if(s1num==2)//分加{fen++;if(fen==60){fen=0;}write_sfm(7,fen);write_com(0x80+0x40+7);}if(s1num==3)//时加{shi++;if(shi==24){shi=0;}write_sfm(4,shi);write_com(0x80+0x40+4);}if(s1num==4)//年加{nian++;if(nian==10000){nian=2000;}write_nyr(1,nian);write_com(0x80+3);}if(s1num==5)//月份加{yue++;if(yue==13){yue=1;}write_yr(6,yue);write_com(0x80+6);}if(s1num==6)//日期加{ri++;if(ri==29&&yue==2&&!((nian%4==0&&nian%100!=0)||nian%400==0)){ri=1;//平年}if(ri==30&&yue==2&&((nian%4==0&&nian%100!=0||nian%400==0))){ri=1;//闰年}if(ri==31&&(yue==4||yue==6||yue==9||yue==11)){ri=1;}if(ri==32){ri=1;}write_yr(9,ri);write_com(0x80+9);}if(s1num==7)//星期加{m=m+3;if(m==21)m=0;write_com(0x80+12);for(n=m;n<m+3;n++){write_date(table4[n]);delay(5);}write_com(0x80+13);}}}if(s3==0)//按键3减功能{delay(5);if(s3==0){while(!s3);if(s1num==1)//秒减{miao--;if(miao==-1){miao=59;}write_sfm(10,miao);write_com(0x80+0x40+10);}if(s1num==2)//分减{fen--;if(fen==-1)fen=59;write_sfm(7,fen);write_com(0x80+0x40+7);}if(s1num==3)//时减{shi--;if(shi==-1)shi=23;write_sfm(4,shi);write_com(0x80+0x40+4);}if(s1num==4)//年减{nian--;if(nian==1999)nian=2000;write_nyr(1,nian);write_com(0x80+3);if(s1num==5)//月份减{yue--;if(yue==0)yue=12;write_yr(6,yue);write_com(0x80+6);}if(s1num==6)//日减{ri--;if(ri==0){if(yue==2&&!((nian%4==0&&nian%100!=0)||nian%400==0)){ri=28;//平年}if(yue==2&&((nian%4==0&&nian%100!=0||nian%400==0))){ri=29;//闰年}if(yue==4||yue==6||yue==9||yue==11){ri=30;}if(yue==1||yue==3||yue==5||yue==7||yue==9||yue==11)ri=31;}write_yr(9,ri);write_com(0x80+9);}if(s1num==7)//星期减{m=m-3;if(m==0)m=21;write_com(0x80+12);for(n=m;n-3<m;n++){write_date(table4[n-3]);delay(5);}write_com(0x80+13);}}}}void main(){int i,j;init();while(1){keyscan();if(count==18){count=0;miao++;if(miao==60){miao=0;fen++;if(fen==60){fen=0;shi++;if(shi==24){shi=0;ri++;j=j+3;if(j==21)j=0;write_com(0x80+12);for(i=j;i<j+3;i++){write_date(table4[i]);delay(5);}if(ri==29&&yue==2&&!((nian%4==0&&nian%100!=0)||nian%400==0)){ri=1;yue++;//平年}if(ri==30&&yue==2&&((nian%4==0&&nian%100!=0||nian%400==0))){ri=1;yue++;//闰年}if(ri==31&&(yue==4||yue==6||yue==9||yue==11)){ri=1;yue++;}if(ri==32){ri=1;yue++;}if(yue==13){yue=1;nian++;if(nian==10000){nian=2000;}write_nyr(1,nian);}write_yr(6,yue);}write_yr(9,ri);write_sfm(4,shi);}write_sfm(7,fen);}write_sfm(10,miao);}}}void timer() interrupt 1{TH0=(65535-50000)/256;TL0=(65535-50000)%256;count++;}说明:单片机最小系统在这里就不用给图了,对于单片机学习者就不用提了,仅仅给个lcd1602连接图就够了,对了,要说明一点,笔者的最小系统上独立键盘不够,所以就暂且用矩阵键盘代替独立键盘,程序更要说明是在郭天祥视频讲解的基础上加上自己的思想(实现万年历的功能),程序基本上没有问题,就是偶尔调时完,走时有点迟钝,希望能够和大家共同交流!QQ:782373034电子群:257584167。
DSHELCD实现万年历和温度显示(不用时间芯片)
#include<reg52.h>#include<intrins.h>#include <math.h>#define uchar unsigned char#define uint unsigned intuchar code table[]="--Figo"。
//uchar code table1[]=""。
sbit lcden=P3^4。
sbit lcdrs=P3^5。
sbit dula=P2^6。
sbit wela=P2^7。
sbit ds=P1^7。
sbit key1=P1^0。
sbit key2=P1^1。
sbit key3=P1^2。
sbit key4=P1^3。
int tempValue。
int s_1=1000。
unsigned char FunKeyFlag=6,keypress。
#define key_vaule1 0x01#define key_vaule2 0x02#define key_vaule3 0x03#define key_vaule4 0x04uchar num。
int ms_10=10。
int flag=0。
int s_1_1=1000。
uint sec=55。
uint min=9。
uint hour=22。
uint nian=2014。
uint yue=8。
uint ri=20。
uint xingqi=3。
//延时函数, 对于11.0592MHz时钟, 例i=10,则大概延时10ms. void delay_wendu(unsigned int i){unsigned int j。
while(i--){for(j = 0。
j < 125。
j++)。
}}//初始化DS18B20//让DS18B20一段相对长时间低电平, 然后一段相对非常短时间高电平, 即可启动void dsInit(){//对于11.0592MHz时钟, unsigned int型的i, 作一个i++操作的时间大于?usunsigned int i。
LCD显示的电子万年历的设计
LCD显示的电子万年历的设计摘要:电子万年历是一种非常广泛的日常计时工具,它不仅能够对时间计数,还能够对日期,温度,阴历等进行计数,所以在现代社会中受到了广泛推行。
本设计的硬件设计是以STC89C52单片机为核心,构成了整个系统的控制电路,STC89C52单片机性能卓越,保密性好,烧写方便,烧写速度快,可重复烧写10万次!是普通51单片机的100倍。
它结合DS1302时钟芯片和DS18B20芯片完成时间的自动调整、掉电保护以及温度的采集,可计算到2100年前的秒、分、小时、星期、日、月、年七种日历信息并带闰年补偿,断电后能运行10年之久不丢失数据,DS18B20是数字式温度传感器,具有测量精度高,电路连接简单特点。
设计的输出系统采用LCD数码液晶显示屏显示,简单、明朗。
时间、日期调整由3个按键来实现。
最终达到显示年、月、日以及星期、时、分、秒。
关键词:电子万年历;DS1302;DS18B20;STC89C52LCD shows the design of the electronic calendarAbstract:Electronic calendar is a very wide range of daily timing tool, it can not only count on the time, but also on the date, temperature, etc. to count the lunar calendar, so in modern society has been widely implemented.The design of the hardware design is based on STC89C52 microcontroller as the core, constitute the entire system, the control circuit, STC89C52 MCU performance, security and good, easy programming, the programming speed and programming can be repeated 10 million times! An ordinary 51 MCU SCM is 100 times the normal 51. It combines the DS1302 clock chip and chip DS18B20 completion time of the automatic adjustment, power-down protection, and the temperature of the collection, calculated to 2100 seconds, minutes, hours, weeks, days, months and years seven and calendar information with leap year compensation. Power to run 10 years after the loss of long-suppressed data, DS18B20 a digital temperature sensor with high accuracy, a simple electrical connection characteristics.Ultimately show year, month, day and week, hours, minutes, seconds.Keywords:E-calendar;DS1302;DS18B20;STC89C52目 录第1章 概 述 (1)1.1电子万年历的设计背景 (1)1.2电子万年历的设计意义 (2)1.3电子万年历的应用 (2)第2章 系统总体方案设计 (3)2.1设计要求 (3)2.2系统硬件总体分析 (3)2.2.1时钟电路的方案论证 (3)2.2.2显示电路的方案论证 (4)2.2.3单片机电路的方案论证 (4)2.2.4温度采集电路的方案论证 (5)2.2.5键盘电路的方案论证 (5)2.2.6电路设计最终决定方案 (6)第3章 系统的硬件设计 (7)3.1单片机模块的设计 (7)3.1.1单片机原理 (7)3.1.2单片机主控制模块 (7)3.2时钟电路模块的设计 (10)3.3液晶显示电路模块的设计 (12)3.3.1 LCD1602引脚功能说明 (12)3.3.2 LCD1602的指令说明及时序 (14)3.3.3 LCD1602的介绍 (16)3.4温度采集电路模块的设计 (17)3.5复位电路模块的设计 (24)3.6蜂鸣器报警电路 (25)3.6.1蜂鸣器的介绍 (25)3.6.2蜂鸣器的结构原理 (25)第4章 系统的软件设计 (27)4.1主程序流程图 (28)4.2温度采集程序显示流程图 (30)4.3阴历显示流程图 (30)4.4液晶显示流程图 (31)第5章 系统调试 (34)5.2软件调试 (35)5.3综合调试 (35)5.4测试结果分析 (35)第6章 结论 (37)参考文献 (38)致 谢 (40)附 录 (41)附录一:电子万年历原理图 (41)附录二:电子万年历实物图 (42)附录三:程序清单 (43)附录四:中英文资料 (61)第1章概述随着微电子技术的高速发展,单片机在国民经济的个人领域得到了广泛的运用。
LCD带温度显示万年历
LCD带温度显示万年历.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。
LCD带温度显示万年历(2009-4-5 15:02:00>【收藏】【评论】【打印】【关闭】标签:1#include <reg52.h>#include <intrins.h>#define uchar unsigned char#define uint unsigned int#define LCD_Data P2#define Busy 0x80 //用于检测LCD状态字中的Busy标识sbit DQ = P1^3。
sbit lcdrs = P3^5。
//数据命令选择端 <H/L)sbit lcdrw = P3^6。
//读写选择端 <H/L)sbit lcde = P3^7。
//使能信号sbit SCL2=P1^0。
//SCL2定义为P1口的第3位脚,连接DS1302SCL和ADC0831SCL脚b5E2RGbCAPsbit SDA2=P1^1。
//SDA2定义为P1口的第4位脚,连接DS1302SCL和ADC0831SDA脚p1EanqFDPwsbit RST = P1^2。
// DS1302片选脚sbit key0 = P0^0。
//定义三个按键sbit key1 = P0^1。
//加按键sbit key2 = P0^2。
//减按键sbit H1 = P0^3。
sbit L=P0^4。
bit presence 。
uchar code cdis2[ ] = {" . C "} 。
uchar buzyc,funtion_flag=0,temp_num。
char shi,fen,miao,nian,yue,ri,xin。
uchar beep。
c语言编写的万年历 带液晶显示
}
else if(change1==3)
{cishu++; biaozhi4=1;
if(cishu>3)
cishu=1;
if(cishu==1)
display(2,4,"调时 ");
if(cishu==2)
Read_RTC();}
else if(cishu==2)
{change=l_tmpdisplay[12]*10+l_tmpdisplay[13];
change++;
if(az==2)
{display(1,6,"关闹铃");biaozhi6=0;
display(1,1,"闫阳向制作");}
}
else
{biaozhi0=1;biaozhi2=1;change2=0;change1=0; djs=23;
l_tmpdisplay[12]=change/16; //月显示数据处理
l_tmpdisplay[13]=change&0x0f;
display(2,4,"年");
{ cishu++; biaozhi3=1;
if(cishu>3)
cishu=1;
if(cishu==1)
display(1,6,"调年 ");
else if(cishu==2)
display(1,6,"调月 ");
l_tmpdate[4]=l_tmpdisplay[12]*10+l_tmpdisplay[13];
电子万年历(DS1302)(LCD1602显示)程序
LCD1602_E = 1;//写入时序
Lcd1602_Delay1ms(5);
LCD1602_E = 0;
LCD1602_DATAPINS = dat << 4; //写入低四位
Lcd1602_Delay1ms(1);
LCD1602_E = 1;//写入时序
LCD1602_DATAPINS = com;//由于4位的接线是接到P0口的高四位,所以传送高四位不用改
Lcd1602_Delay1ms(1);
LCD1602_E = 1;//写入时序
Lcd1602_Delay1ms(5);
LCD1602_E = 0;
//Lcd1602_Delay1ms(1);
LCD1602_DATAPINS = com << 4; //发送低四位
* 函数功能: 主函数
* 输入 : 无
* 输出: 无
*******************************************************************************/
void main()
{
unsigned char i;
Int0Configuration();
LcdWriteData('-');
LcdWriteData('0'+TIME[4]/16);//月
LcdWriteData('0'+(TIME[4]&0x0f));
LcdWriteData('-');
LcdWriteData('0'+TIME[3]/16);//日
LCD液晶万年历显示程序
#include <reg52.h>sbit RS=P2^6;sbit rw=P2^5;sbit LCDE=P2^7;unsigned char n;unsigned char shi,ge,fen,miao,count;unsigned int year,yue,ri;//unsigned char code table[]=" 2011-8-4 MON "; void delay(unsigned int xms){unsigned int i,j;for(i=xms;i>0;i--)for(j=110;j>0;j--);}void write_com(unsigned char com){RS=0;rw=0;LCDE=0;P0=com;delay(5);LCDE=1;delay(5);LCDE=0;}void write_date(unsigned char date){RS=1;rw=0;LCDE=0;P0=date;delay(5);LCDE=1;delay(5);LCDE=0;}void write_sfm(unsigned char add,unsigned char date) {unsigned char shi,ge;shi=date/10;ge=date%10;write_date(shi+0x30);write_date(ge+0x30);}void write_n(unsigned int add1,unsigned int date1) {unsigned int q,b,s,g;q=date1/1000;b=date1/100%10;s=date1/10%10;g=date1%10;write_com(0x80+add1);write_date(q+0x30);write_date(b+0x30);write_date(s+0x30);write_date(g+0x30);}void write_yr(unsigned char add2,unsigned char date2) {unsigned char s1,g1;s1=date2/10;g1=date2%10;write_com(0x80+add2);write_date(s1+0x30);write_date(g1+0x30);}void init(){LCDE=0;fen=35;miao=34;shi=15;ri=4;yue=8;year=2011;write_com(0x38);write_com(0x0c);write_com(0x06);write_com(0x01);write_com(0x80+7);write_date('-');delay(5);write_date('-');write_n(3,year);write_yr(8,yue);write_yr(11,ri);delay(5);write_com(0x80+0x40+6);write_date(':');delay(5);write_com(0x80+0x40+9);write_date(':');delay(5);write_sfm(10,miao);write_sfm(7,fen);write_sfm(4,shi);TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;TR0=1;ET0=1;}void main(){init();while(1);}void time0() interrupt 1{TH0=(65536-50000)/256;TL0=(65536-50000)%256;count++;if(count==20){count=0;miao++;if(miao==60){ miao=0;fen++;if(fen==60){fen=0;shi++;if(shi==24){shi=0;ri++;switch(yue){case 1:case 3:case 5:case 7:case 8:case 10:case 12:if(ri==32){ ri=1;yue++;}break;case 4:case 6:case 9:case 11:if(ri==31){ri=1;yue++;}break;case 2:if(year%4==0){if(ri==30){ri=1;yue++;}}else{if(ri==29){ri=1;yue++;}}break;}if(yue==13){yue=1;year++;}write_n(3,year);write_yr(8,yue);}write_yr(11,ri);}write_sfm(4,shi);}write_sfm(7,fen);}write_sfm(10,miao);}。
基于LCD1602液晶显示屏的电子万年历设计【两个C程序】
二,ISD1420P与单片机的连接
ISD1420P的电路图如图 2-9所示,图中LED用做录音和放音的指示灯;R8、R10和C6起到上拉的作用;通过R14和C11的时间常数来决定自动增益控制的释放时间,470KΩ和 的标称值在绝对大多数场合下可获得满意的效果;C7为电源和地之间的去耦电容;C9和C10为话筒的耦合电容.
市场上有许多电子钟的专用芯片如:LM8363、LM8365等,但它们功能单一,电路连接复杂,不便于调试制作!但是考虑到用单片机配合时钟芯片,可制成功能任意的电子钟,而且可以做到硬件简单、成本低廉。所以本系统采用了以广泛使用的单片机AT89C51技术为核心,配合时钟芯片DS1302。软硬件结合,使硬件部分大为简化,提高了系统稳定性,并采用LED显示电路、键盘电路,使人机交互简便易行,此外结合音乐闹铃电路、看门狗和供电电路。本方案设计出的数字钟可以显示时间、设置闹铃功能之外。
本设计中我重点研究实现了单片机+时钟芯片这种模式的万年历,从原理上对单片机和时钟芯片有了深一步的认识,这些基本功能完成后,在软件基础上实现世界时,农历功能。
本设计在很多场合都能用到,可以把此万年历装在镜框中或其它工艺品中,以便更加实用。
第一章 概论 系统总体框图
本设计的核心部分为单片机控制器,系统的各项功能由其它各部分单元电路来完成,单元电路主要包括:时钟电路部分、显示部分、语音部分、人机交互控制接口部分等,单片机通过程序来控制其它各部分统一协调地来完成系统的各项功能,本设计硬件电路较简单,但软件部分较复杂,所有功能都要通过软件来实现,系统总体设计如图1-1所示。
采用AT89C55,它是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片内含20kbytes 的可反复擦写的Flash只读程序存储器(ROM)和256*8bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼容,片内置通用8 位中央处理器(CPU)和Flash存储单元,功能强大AT89C55单片机适合于许多较为复杂控制应用场合。
基于stc51单片机的LCD1602显示时间的电子万年历(显示
1 课设所需软件简介1.1 Keil uVision4的简要介绍2009年2月发布KeilμVision4,KeilμVision4引入灵活的窗口管理系统,使开发人员能够使用多台监视器,并提供了视觉上的表面对窗口位置的完全控制的任何地方。
新的用户界面可以更好地利用屏幕空间和更有效地组织多个窗口,提供一个整洁,高效的环境来开发应用程序。
新版本支持更多最新的ARM芯片,还添加了一些其他新功能。
2011年3月ARM公司发布最新集成开发环境RealViewMD K开发工具中集成了最新版本的KeiluVision4,其编译器、调试工具实现与ARM器件的最完美匹配。
KeilC51开发系统基本知识KeilC51开发系统基本知识1. 系统概述KeilC51软件提供丰富的库函数和功能强大的集成开发调试工具,全Windows界面。
另外重要的一点,只要看一下编译后生成的汇编代码,就能体会到Keil C51生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑,容易理解。
在开发大型软件时更能体现高级语言的优势。
下面详细介绍KeilC51开发系统各部分功能和使用。
2. Keil C51单片机软件开发系统的整体结构C51工具包的整体结构,uVision与Ishell分别是C51for Windo ws和forDos的集成开发环境(IDE),可以完成编辑、编译、连接、调试、仿真等整个开发流程。
开发人员可用IDE本身或其它编辑器编辑C或汇编源文件。
然后分别由C51及C51编译器编译生成目标文件(.OBJ)。
目标文件可由LIB51创建生成库文件,也可以与库文件一起经L51连接定位生成绝对目标文件(.ABS)。
ABS文件由OH51转换成标准的Hex文件,以供调试器dScope51或tScope51使用进行源代码级调试,也可由仿真器使用直接对目标板进行调试,也可以直接写入程序存贮器如EPROM中。
使用独立的Keil仿真器时,注意事项:* 仿真器标配11.0592MHz的晶振,但用户可以在仿真器上的晶振插孔中换插其他频率的晶振。
单片机课程设计lcd显示万年历eprom存储
单片机课程设计LCD显示万年历EPROM存储单片机课程设计报告题目: 基于单片机的LCM1602液晶控制——万年历显示设计所在系部:信息与电气工程所在专业:通信本所在班级: 1001姓名:曹怀宝学号: 3615276指导教师:陈勇完成时间: 7月 3日基于单片机的LCM1602液晶控制——万年历显示设计1.设计目的该设计是基于AT89C52单片机的电子万年历系统,采用LCD1602液晶屏实现显示。
显示年月日星期温度等,双行显示,。
显示年、月、日、星期、时间,可设置,设置功能。
综上所述此时钟具有读取方便、显示直观、功能多样、电路简洁、等优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。
2.设计原理及相关说明设计原理:利用DS1302读取系统中的日期以及时间信息,并分别利用P1.1端口和P3.3端口将相关信息传送至STC12C5A60S2主芯片之中,利用P0端口使之显示于LCD1602液晶显示屏上,四个按键分别置于P1口的5、6、7端口能够对时间进行控制修改。
详细请参阅第三节的芯片介绍。
2.2总体设计框图日历时钟系统设计框图如图1所示:键扫描电DS1302蜂鸣器At89C52LCD 1602DS18B2图1 电子万年历系统设计框图3 各芯片的设计及其调用3.1 STC12C5A60S2单片机主控模块STC12C5A60S2简介STC12C5A60S2是STC生产的单时钟/机器周期(1T)的单片机,是高速、低功耗、超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。
内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换1、增强型8051CPU,1T(1024G),单时钟机器周期2、工作电压5.5-3.5V3、1280字节RAM4、通用I/O口,复位后为:准双向口/弱上拉可设置成四种模式:准双向口/弱上拉,强推挽/强上拉,仅为输入/高阻,开漏每个I/O口驱动能力均可达到20mA,但整个芯片最大不要超过120mA5、有EEPROM功能6、看门狗7、内部集成MAX810专用复位电路8、外部掉电检测电路9、时钟源:外部高精度晶体/时钟,内部R/C振荡器常温下内部R/C振荡器频率为: 5.0V单片机为:11~17MHz 3.3V单片机为:8~12MHz 针对电机控制,强干扰场合。
LCD电子钟万年历程序代码
源程序代码XTAL218XTAL119ALE 30EA31PSEN 29RST9P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD17P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1AT89C51D 714D 613D 512D 411D 310D 29D 18D 07E 6R W 5R S 4V S S1V D D 2V E E3LCD1LM016LR11k R21k R31k R41k R51k R61k R71k R81kR91kQ12N5088R10100LS1SPEAKERR111kK1K2X1CRYSTALC1220pC2220p电气04-404010104赵化正/******************************************************************************* *;按K1键,依次进入闹钟功能,闹钟时间,年,月,日和时,分,秒模式,直致退出设置状态* ;按K2键,调整是否起用闹钟和调节闹钟时,分,秒,年,月,日,时间的时,分,秒的数字*;闹钟响时,按K2 即可停止闹钟的声响*;K2和K1需要配合使用;设置状态,LCD 上排最前面显示"P",下排最前面设置闹钟时显示"alarm:",其他显示"time:" * ;闹钟启用时,在LCD 下排中间显示一小喇叭,闹钟禁用时,无此小喇叭*;年代变化2000--2099,星期自动转换*;**************变量的定义*****************RS BIT P2.0 ;LCD 数据/命令选择端(H/L)RW BIT P2.1 ;LCD读/写选择端(H/L)EP BIT P2.2 ;LCD 使能控制PRE BIT P1.7 ;调整键(K1)ADJ BIT P1.6 ;调整键(K2)SPK BIT P3.7 ;闹钟声音输出口YEAR DATA 18H ;年,月,日变量MONTH DATA 19HDATE DATA 1AHWEEK DATA 1BHHOUR DATA 1CH ;时,分,秒,百分之一秒变量MIN DATA 1DHSEC DATA 1EHSEC100 DATA 1FHHOUR_ARM DA TA 20H ;闹钟时,分,秒,变量MIN_ARM DA TA 21HSEC_ARM DATA 22HSTA TE DATA 23HALARM BIT STA TE.0 ;闹钟是否启用标志1--启用,0--禁止LEAP BIT STATE.1 ;是否闰年标志1--闰年,0--平年KEY_S DATA 24H ;当前扫描键值KEY_V DATA 25H ;上次扫描键值DIS_BUF_U0 DATA 26H ;LCD 上排显示缓冲区DIS_BUF_U1 DATA 27HDIS_BUF_U2 DATA 28HDIS_BUF_U3 DATA 29HDIS_BUF_U4 DATA 2AHDIS_BUF_U5 DATA 2BHDIS_BUF_U6 DATA 2CHDIS_BUF_U7 DATA 2DHDIS_BUF_U8 DATA 2EHDIS_BUF_U9 DATA 2FHDIS_BUF_U10 DA TA 30HDIS_BUF_U11 DA TA 31HDIS_BUF_U12 DA TA 32HDIS_BUF_U13 DA TA 33HDIS_BUF_U14 DA TA 34HDIS_BUF_U15 DA TA 35HDIS_BUF_L0 DATA 36H ;LCD 下排显示缓冲区DIS_BUF_L1 DATA 37HDIS_BUF_L2 DATA 38HDIS_BUF_L3 DATA 39HDIS_BUF_L4 DATA 3AHDIS_BUF_L5 DATA 3BHDIS_BUF_L6 DATA 3CHDIS_BUF_L7 DATA 3DHDIS_BUF_L8 DATA 3EHDIS_BUF_L9 DATA 3FHDIS_BUF_L10 DATA 40HDIS_BUF_L11 DA TA 41HDIS_BUF_L12 DATA 42HDIS_BUF_L13 DATA 43HDIS_BUF_L14 DATA 44HDIS_BUF_L15 DATA 45HFLAG DATA 46H ;标识调整状态0-闹钟功能,1-闹钟时,2-闹钟分,3-闹钟秒;4-年,5-月,6-日,7-时,8-分,9-秒,10-退出调整。
基于利用时钟芯片DS实现万年历LCD显示电子表
基于利用时钟芯片DS实现万年历LCD显示电子表电子设计大赛培训第二阶段第^组报告《基于利用时钟芯片DS1302实现万年历,1602LCD显示电子表》成员姓名:槿学号: ******成员姓名:HG**学号:******成员姓名:槿学号:一、主要功能1 v在1602液晶上显不年\月、日\星期' 时、分' 秒以及实时温度,并且实时更新显示;2、通过按键随时调节各显示参数,分别实现光标定位、数值增大、数值减小、闹钟和温度上下限查看的功能;3•在时间出现乱码的情况下,具有一键初始化时间的功能。
路图三、实物图1 •开关模块2、显ZE模块3、at89c52 模块4、总体连接图四、心得体会本次设计是我们遇到过的较大的设计,所以遇到的问题也比较的多,尤其是以前没有接触过如此复杂的硬件电路以及软件编程,在软' 硬件设计和调试中遇到了不少的困难,在同学的帮助才逐一克服了难题,学习到了不少的专业知识。
在整个设计过程之前,我已经在网上找了相关方面的资料,万事开始难,一开始不知道从哪里下手。
后来慢慢学会分析系统,将系统模块化,各个模块可以在软件或者硬件上实现。
在确保各个模块的硬件电路和与之相搭配的程序能够正常工作后在把它们组成一个系统。
在今后的日子里,我会进一步加强自己的动手能力,丰富自己的知识面。
总之,这次设计给我的最大感触就是,在以后的学习中勤动脑,多动手。
附录: 1、元件清单AT89C52 —块LCD —个排阻3个DS1302 —个DS18B20 一个开关5个晶振2个瓷片电容2个,30PF电解电容一个,33Uf插针若干杜邦线若干2、程序#include<REG51 .H> #include<intrins.h> //#include H LCD1602.h"//#include M DS1302.h n#defi neuint unsignedint #defi neuchar unsign edcharsbitDS1302_CLK=PM7; 〃sbitDS1302 J 0=PM6; 〃sbitDS1302_RST=PM5; 〃sbitwireless_ 仁P3A0; 实时时钟时钟线引脚sbitwireless_2=P3A1; 实时时钟数据线引sbitwireless_3=P3A2;sbitwireless_4=P3A3;sbitACC0=ACC9 sbitACC7=AC f,7;charhide_sec5hide_mi n,hide_hour,hide_day,hide_week,hide_month,hide_year;//秒,分,时到日月,年位闪的计数模式切换键加法按钮sbitSet=P2A0;//减法按钮立刻跳出调整模式按钮温度传送数据sbitUp=P2M; 〃10 口sbitDowchard on e,co un t,temp,flag,up_flag,down_flag;n=P2A2; 〃uchartemp_value;// 温度值sbitout=P2A3;//ucharT empBuffer[5],week_value[2];voidshow_time();// 液晶显ZF程序//PortDefinitions*/** 1602 液晶显示部分子程序****************/sbitLcdRs=P2A5;sbitLcdRw=P2A6;sbitLcdEn=P2A7;数据端口sfrDBPort=0x80;//P0=0x805P1=0x90,P2=0xA0,P3=0xB0.〃内部等待函*********************************************************************** unsignedcharLCD_Wait(void){ _LcdRs=0;LcdRw=1 ;_nop_();LcdEn=1 ;_nop_();LcdEn=O;returnDBPort;}〃向LCD写入命令或数★it**********************************************************#defineLCD_COMMANDO//Command#defineLCD_DATA1//Data#defineLCD_CLEAR_SCREEN0x01// 清屏#defineLCD_HOMING0x02// 光标返回原点voidLCD_Write(bitstyle,u nsign edchari nput){LcdE n=0;LcdRs=style;LcdRw=0;_nop_();DBPort=input;_nop_();// 注意顺序LcdEn=1 ;_nop_();// 注意顺序LcdEn=0;_ nop_();LCD_Wait();}〃设置显示#defineLCD_SHOW0x04// 显示开#defineLCD_HIDEOxOO// 显示关#defineLCD_CURSOR0x02// 显示光标#defineLCD_NO_CURSOROxOO// 无光标#defineLCD_FLASHOxO1// 光标闪动#defineLCD_NO_FLASHOxOO// 光标不闪动voidLCD_SetDisplay(unsignedcharDisplayMode)(LCD_Write(LCD_COMMAND,0x08|DisplayMode);}〃设置输入************************************************************#defineLCD_AC_UP0x02#defineLCD_AC_DOWNOxOO//default#defineLCD_MOVE0x01// 画面可平移#defineLCD_NO_MOVEOxOO//default voidLCD_Setl nput(un signedcharl nputMode) 模式 模式LCD_Write(LCD_COMMAND,0x04|lnputMode);//D ************************************************************LcdEn=0;LCD_Write(LCD_COMMAND,Ox38);//8 位数据端口LCD_Write(LCD_COMMAND5Ox38);,2行显示月7点LCD_SetDisplay(LCD_SHOW|LCD_NO_CURSOR);// 阵LCD_Write(LCD_COMMAND 丄CD_CLEAR_SCREEN);〃LCD_Setlnput(LCD_AC_UP|LCD_NO_MOVE);//AC开启显示,无光voidPri nt(un signedchar*str) 标清屏〃液晶字符输入的位晝一voidGotoX Y(un signedcharx,u nsignedchary){if(y==O)LCD_Write(LCD_COMMAND50x80|x);if(y==i)LCD_Write(LCD_COMMAND,0x80|(x-0x40));}〃将字符输出到液晶显示while(*str!=,\O,)LCD_Write(LCD_DATA,*str);str++;}}/***********DS1302 时钟咅E分子稈库typedefstruct_SYSTEMTIME_{unsignedcharSecond; unsignedcharMinute; unsignedcharHour; unsignedcharWeek; unsignedcharDay; unsignedcharMonth; unsignedcharYear; unsignedcharDateString[11]; unsignedcharTimeString[9];}SYSTEMTIME;//定义的时间类型SY STEMTIMECurrentTime;#defineAM(X)X #definePM(X)(X+12)// 转成24 小时制#defineDS1302_SECOND0x80//时钟芯片的寄存器位置,存放时间#defineDS1302_MINUTE0x82#defineDS1302_HOUR0x84#defineDS1302_WEEK0x8A#defineDS1302_DAY0x86#defineDS1302_MONTH0x88#defineDS1302_YEAR0x8CvoidDS1302lnputByte(unsignedchard)// 实时时钟写入一字节(内部函数){un signedchari;ACC=d;for(i=8;i>0;i-){DS1302_IO=ACC0;//相当于汇编中的RRCDS1302_CLK=1;DS1302_CLK=0;ACC=ACC»1;}}unsignedcharDS1302OutputByte(void)// 实时时钟读取一字节(内部函数) un signedchari;for(i=8;i>0;i-)ACC=ACC»1;//相当于汇编中的RRCACC7=DS1302JO;DS1302_CLK=1;DS1302_CLK=0;)return(ACC);>voidWrite1302(u nsign edcharucAddr,u nsignedcharucDa)〃ucAddr:DS 1302地址.ucData:要写的数据{DS1302_RST=0;DS1302_CLK=0;DS1302_RST=1;DS1302lnputByte(ucAddr);// 地址,命令DS1302lnputByte(ucDa);// 写1Byte 数据DS1302_CLK=1;DS1302_RST=0;unsignedcharReadl 302(u nsignedcharucAddr)//读取DS1302某地址的数据{un signedcharucData;DS1302_RST=0;DS1302_CLK=0;DS1302_RST=1;DS1302lnputByte(ucAddr|0x01);// 地址,命令ucData=DS1302OutputByte();// 读 1 Byte 数据DS1302_CLK=1;DS1302_RST=0;return(ucData);}voidDS1302_GetTime(SYSTEMTIME*Time)//获取时钟芯片的时钟数据到自定义的结构型数组{un signedcharReadValue;ReadValue=Read1302(DS1302_SECOND);Time->Second=((ReadValue&0x70)»4)*10+(ReadValue&OxOF);ReadValue=Read1302(DS1302_MINUTE);Time->Hour=((ReadValue&0x70)»4)*10+(ReadValue&0x0F); ReadValue=Read1302(DS1302_DA Y);Time->Week=((ReadValue&0x70)»4)*10+(ReadValue&0x0F);ReadValue=Read1302(DS1302_MONTH);Time->Month=((ReadValue&0x70)»4)*10+(ReadValue&0x0F);ReadValue=Read1302(DS1302_YEA 旳;Time-> Year=((ReadValue&0x70)>>4 门O+(ReadValue&OxOF);}voidDateToStr(SYSTEMTIME*Time)//将时间年,月,日,星期数据转换成液晶显示字符串,放到数组里DateString[]{if(hide_year<2)//这里的if.else语句都是判断位闪烁,<2显示数据,>2就不显示,输出字符串为2007/07/22{Time->DateString[0]=,2';Time->DateString[1 ]=f0';Time->DateString[2]=Time-> Year/10+'0‘;Time->DateString[3]=Time-> Year%10+0;}elseTime->DateString[O]=n;Time->DateString[2]=n;}Time->DateString[4]=7,;if(hide_ mon th<2){Time->DateString[5]=Time->Month/10+,0'; Time->DateString[6]=Time->Month%10+'0'; }else{Time->DateString[5]=n;Time->DateString [6]=H;}Time->DateString[7]=7,;if(hide_day<2)Time->DateString[8]=Time->Day/10+,0'; Time->DateString[9]=Time->Day%10+,0,; else{Time->DateString [8]=H;Time->DateString[9]=n;> if(hide_week<2)week_value[0]=Time->Week%10+,0,;// 星期的数据另夕卜放到week_value[]数组里,跟年月,日的分开存放,因为等一下要在最后显示}else{week_value[O]=";}week_value[1]='\0*;Time->DateString[10]=,\0,;//字符串末尾加9,判断结束字符}voidTimeToStr(SYSTEMTIME*Time)//将时,分,秒数据转换成液晶显示字符放到数组TimeString[];{if(hide_hour<2){Time・>TimeString[0]=Time・>Hour710+'0‘;Time->TimeString[1]=Time->Hour%10+0;}else{Time->TimeString[O]=H;Time->TimeString[1 ]=H;}Time->TimeString[2]=,:';Time->TimeString[3]=Time->Minute/10+,0';Time->TimeString[4]=Time->Minute%10+,0,;}else{Time->TimeString[3]=H;Time->TimeString[4]=H;}Time->TimeString[5]=,:';if(hide_sec<2)Time・>TimeString[6]=Time・>Second/10+'0:Time->TimeString[7]=Time->Second%10+,0,;}elseTime->TimeString[6]=H;Time->TimeString[7]=H;}Time->DateString [8]=,\0,;> voidlnitial_DS1302(void)// 时钟芯片初始化{unsignedcharSecond=Read1302(DS1302_SECOND); if(Second&0x80)//判断时钟芯片是否关闭Write1302(0x8e,0x00);// 写入允许Write1302(0x8c,0x07);//以下写入初始化时间日期:07/07/25.星期:3•时I、可:23:59:55Writel 302(0x88,0x07);Writel 302(0x86,0x25);Writel 302(0x8a,0x07);Writel 302(0x84,0x23);Writel 302(0x82,0x59);Writel 302(0x80,0x55);Writel302(0x8e,0x80);// 禁止写入>}/***********ds18b20了不早*************************//***********ds18b20 延迟子函数(晶振12MHz)*******/voiddelay_18B20(unsignedinti){while(i-);}y**********^jg-| g[^20 ^71 力台d" 孝(7 **********************/ voidlnit_DS18B20(void) unsig nedcharx=O;DQ=1;//DQ 复位delay_18B20(8);// 稍做延时DQ=O;//单片机将DQ拉低delay_18B20(80);// 精确延时大于480usDQ=1;//拉高总线delay_18B20(14);x=DQ;〃稍做延时后如果x=0则初始化成功x=1则初始化失败delay_18B20(20);**********读一个字节ds[ 8b20**★*★*★*★*★*** IunsignedcharReadOneChar(void) uchari=0;uchardat=O;for(i=8;i>0;i-) DQ=0;//给脉冲信号dat»=1;DQ=1;//给脉冲信号 if(DQ) dat|=0x80; delay_18B20(4);return (dat);voidWriteOneChar(uchardat) unsign edchari=0;for(i=8;i>0;i-)*********** *ds 〔 8b20 写一个字节 ***************DQ=O;DQ=dat&OxO1; delay_18B20(5);DQ=1;dat»=1;}/** 读取ds18b20 当前温度************/voidReadTemp(void) unsig nedchara=O;unsig nedcharb=O;unsign edchart=0;lnit_DS18B20();WriteOneChar(OxCC);//跳过读序号列号的操作WriteOneChar(Ox44);// 启动温度转换delay_18B20(100);//thismessageisweryimportantlnit_DS18B20();WriteOneChar(OxCC);//跳过读序号列号的操作WriteOneChar(OxBE);//读取温度寄存器等(共可读9个寄存器)前两个就是温度delay_18B20(100);a=ReadOneChar();//读取温度值低位b=ReadOneChar();//读取温度值高位temp_value=b«4;temp_value+=(a&0xf0)>>4;}voidtemp_to_str()//温度数据转换成液晶字符显示{ TempBuffer[0]=temp_value/10+'O*;// 十位TempBuffer[1 ]=temp_value%10+'0*;// 个位TempBuffer[2]=0xdf;// 温度符号TempBuffer[3]=C*;TempBuffer[4]=,\0,;}voidDelayl ms(un signedi ntcount){unsig nedin tij;for(i=0;i<co un t;i++)for(j=0;j<120;j++);}/* 延时子程序7 voidmdelay(uintdelay) {uinti;for(;delay>0;delay-){for(i=0;i<62;i++)//1 ms 延时,{:}}}voidoutkey()//跳出调整模式,返回默认显示{ucharSecond;if(out==0||wireless_1 ==1){mdelay(8);count=0;hide_sec=0,hide_min=0,hide_hour=0,hide_day=0,hide_week=05hide_ mon th=O,hide_year=O;Second=Read1302(DS1302_SECOND);Writel 302(0x8e,0x00);// 写入允许Writel 302(0x80,Second&0x7f);Writel302(0x8E,0x80);// 禁止写入done=0;while(out==0);while(wireless_1 ==1);}lllllllllllllllllllllllllllllllllll/////////////////////////////////////////////////////////////////////////voidllpkey()// 升序按键{Up=1;if(Up==O| |wireless_2==1)< mdelay(8);switch (count){easel:temp=Read1302(DS1302_SECOND);// 读取秒数temp=temp+1;// 秒数力口 1 up_flag=1;//数据调整后更新标志if((temp&0x7f)>0x59)//超过59秒,清零temp=0;break;case2:temp=Read1302(DS1302_MINUTE);// 读取分数temp=temp+1;// 分数力口 1 up_flag=1;if(temp>0x59)// 超过59 分,清零temp=0;break;case3:temp=Read1302(DS1302_HOUR);// 读取小时数temp=temp+1;// 小时数加 1up_flag=1;if(temp>0x23)// 超过23小时,清temp=O;break;case4:temp=Read1302(DS1302_WEEK);// 读取星期数temp=temp+1;// 星期数加 1 up_flag=1;if(temp>0x7)temp=1;break;case5:temp=Read1302(DS1302_DAY);// 读取日temp=temp+1;// H 数加 1 数up_flag=1; if(temp>0x31) temp=1;break;se 。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
> ds1302+LCD1602.rar > 万年历.C/******************************************************************** * 标题: xl 系列单片机实验仪1602 液晶万年历演示程序** 文件: XLLCDWNL.c ** 日期: 2006-1-5 ** 版本: 3.0 (试验通过版)可用xl600-xl1000 单片机综合试验仪试验** 作者: 部分程序参考网上修改而成,本站最终改编测试,特别对原作致敬!* * 邮箱: sxj1974@ ** 网站: ********************************************************************** *日历时钟**按K1,进入设置状态**按k2, 停止闹钟声音**按k3, 依次进入闹钟功能是否启用,闹钟时,分秒,年,月,日及时间时,分,秒的设置,**直到退出设置状态**按k4, 调整是否起用闹钟和调节闹钟时,分,秒,年,月,日,时间的时,分,秒的数字**LCD 第二排中间显示小喇叭,表示启用闹钟功能,无则禁止闹钟功能* 8888*(可在调整状态进行设置)**正常状态,LCD 上排最前面显示自定义字符,LCD 下排最前面闪动"XUELIN" * *设置状态,LCD 上排最前面显示"P", 下排最前面在设置闹钟时间时显示"alarm:", **其它状态显示"time" **年代变化2000--2099, 星期自动转换**程序中有自定义字符写入********************************************************************** * 【版权】Copyright(C) 深圳市学林电子有限公司 ** 【声明】此程序仅用于学习与参考,引用请注明版权和作者信息! ** 【技术支持】请访问/bbs/index.asp 官方论坛********************************************************************** #include <reg51.h>#include <intrins.h>unsigned char code dis_week[]={"SUN,MON,TUE,WED,THU,FRI,SAT"}; unsigned char code para_month[13]={0,0,3,3,6,1,4,6,2,5,0,3,5}; // 星期月参变数unsigned char data dis_buf1[16]; //lcd 上排显示缓冲区unsigned char data dis_buf2[16]; //lcd 下排显示缓冲区unsigned char data year,month,date,week;// 年、月、日、星期unsigned char data armhour,armmin,armsec;// 闹钟时、分、秒unsigned char data hour,min,sec,sec100;// 时、分、秒、百分之一秒unsigned char data flag,vkey,skey;// 设置状态计数标志、按键先前值、按键当前值bit alarm; //标识是否启用闹钟,1--启用,0--关闭sbit rs = P2^0; //LCD 数据/命令选择端(H/L)sbit rw = P2^1; //LCD 读/写选择端(H/L)sbit ep = P2^2; //LCD 使能控制sbit PRE = P1^0; //调整键(k3)sbit SET = P1^1; //调整键(k4)sbit SPK = P2^3;void delayms(unsigned char ms); // 延时程序bit lcd_busy(); //测试LCD 忙碌状态程序void lcd_wcmd(char cmd); //写入指令到LCD 程序void lcd_wdat(char dat); //写入数据到LCD 程序void lcd_pos(char pos); //LCD 数据指针位置程序void lcd_init(); //LCD 初始化设定程序void pro_timedate(); //时间日期处理程序void pro_display(); //显示处理程序void pro_key(); //按键处理程序void time_alarm(); //定时报警功能(闹钟)unsigned char scan_key(); //按键扫描程序unsigned char week_proc(); //星期自动计算与显示函数bit leap_year(); //判断是否为闰年void lcd_sef_chr(); //LCD 自定义字符程序void update_disbuf(unsigned char t1,unsigned char t2[],unsigned char dis_h,unsigned char dis_m,unsigned char dis_s); //更新显示缓冲区函数// 延时程序void delay(unsigned char ms){ while(ms--){ unsigned char i;for(i = 0; i< 250; i++){_nop_(); //执行一条_nop_() 指令为一个机器周期_nop_();_nop_();_nop_();}}}//测试LCD 忙碌状态bit lcd_busy(){bit result;rs = 0;rw = 1;ep = 1;_nop_();_nop_();_nop_();_nop_();result =(bit)(P0&amt;0x80); //LCD 的D0--D7 中,D7=1 为忙碌,D7=0 为空闲ep = 0;return result;}//写入指令到LCDvoid lcd_wcmd(char cmd)while(lcd_busy()); //当lcd_busy 为1 时,再次检测LCD 忙碌状态,lcd-busy 为0 时,开始写指令rs = 0;rw = 0;ep = 0;_nop_();_nop_();P0 = cmd;_nop_();_nop_();_nop_();_nop_();ep = 1;_nop_();_nop_();_nop_();_nop_();ep = 0;}//写入数据到LCDvoid lcd_wdat(char dat){while(lcd_busy()); //当lcd_busy 为1 时,再次检测LCD 忙碌状态,lcd-busy 为0 时,开始写数据rs = 1;rw = 0;ep = 0;P0 = dat;_nop_();_nop_();_nop_();_nop_();ep = 1;_nop_();_nop_();_nop_();_nop_();ep = 0;}//LCD 数据指针位置程序void lcd_pos(char pos){lcd_wcmd(pos|0x80); // 数据指针=80+ 地址码(00H~27H,40H~67H)}//设定二个自定义字符,(注意:LCD1602 中自定义字符的地址为0x00--0x07, 即可定义8 个字符)//这里我们设定把一个自定义字符放在0x00 位置(000),另一个放在0x01 位子(001)void lcd_sef_chr(){ //第一个自定义字符lcd_wcmd(0x40);lcd_wdat(0x1f);lcd_wcmd(0x41); //"01 000 001" 第2 行地址lcd_wdat(0x11); //"XXX 10001" 第2 行数据lcd_wcmd(0x42); //"01 000 010" 第3 行地址lcd_wdat(0x15); //"XXX 10101" 第3 行数据lcd_wcmd(0x43); //"01 000 011" 第4 行地址lcd_wdat(0x11); //"XXX 10001" 第4 行数据lcd_wcmd(0x44); //"01 000 100" 第5 行地址lcd_wdat(0x1f); //"XXX 11111" 第5 行数据lcd_wcmd(0x45); //"01 000 101" 第6 行地址lcd_wdat(0x0a); //"XXX 01010" 第6 行数据lcd_wcmd(0x46); //"01 000 110" 第7 行地址lcd_wdat(0x1f); //"XXX 11111" 第7 行数据lcd_wcmd(0x47); //"01 000 111" 第8 行地址lcd_wdat(0x00); //"XXX 00000" 第8 行数据//第二个自定义字符lcd_wcmd(0x48); //"01 001 000" 第1 行地址lcd_wdat(0x01); //"XXX 00001" 第1 行数据lcd_wcmd(0x49); //"01 001 001" 第2 行地址lcd_wdat(0x1b); //"XXX 11011" 第2 行数据lcd_wcmd(0x4a); //"01 001 010" 第3 行地址lcd_wdat(0x1d); //"XXX 11101" 第3 行数据lcd_wcmd(0x4b); //"01 001 011" 第4 行地址lcd_wdat(0x19); //"XXX 11001" 第4 行数据lcd_wcmd(0x4c); //"01 001 100" 第5 行地址lcd_wdat(0x1d); //"XXX 11101" 第5 行数据lcd_wcmd(0x4d); //"01 001 101" 第6 行地址lcd_wdat(0x1b); //"XXX 11011" 第6 行数据lcd_wcmd(0x4e); //"01 001 110" 第7 行地址lcd_wdat(0x01); //"XXX 00001" 第7 行数据lcd_wcmd(0x4f);//"01 001 111" 第8 行地址lcd_wdat(0x00); //"XXX 00000" 第8 行数据}//LCD 初始化设定void lcd_init(){lcd_wcmd(0x38); //设置LCD 为16X2 显示,5X7 点阵,八位数据借口delay(1);lcd_wcmd(0x0c); //LCD 开显示及光标设置(光标不闪烁,不显示"-")delay(1);lcd_wcmd(0x06); //LCD 显示光标移动设置(光标地址指针加1,整屏显示不移动) delay(1);lcd_wcmd(0x01); //清除LCD 的显示内容delay(1);}//闰年的计算bit leap_year(){bit leap;if((year>4==0&amt;&amt;year>100!=0)||year>400==0)// 闰年的条件leap=1;elseleap=0;return leap;}//星期的自动运算和处理unsigned char week_proc(){ unsigned char num_leap;unsigned char c;num_leap=year/4-year/100+year/400;// 自00 年起到year 所经历的闰年数if( leap_year()&amt;&amt; month<=2 ) //既是闰年且是1 月和2 月c=5;elsec=6;week=(year+para_month[month]+date+num_leap+c)>7;// 计算对应的星期return week;}//更新显示缓冲区void update_disbuf(unsigned char t1,unsigned char t2[],unsigned char dis_h,unsigned char dis_m,unsigned char dis_s){ dis_buf1[0]=t1; //dis_buf1[1]=0x20; //空格dis_buf1[2]=50; //'2'dis_buf1[3]=48; //'0'dis_buf1[4]=year/10+48;dis_buf1[5]=year>10+48;dis_buf1[6]=0x2d;dis_buf1[7]=month/10+48;dis_buf1[8]=month>10+48;dis_buf1[9]=0x2d; //'-'dis_buf1[10]=date/10+48;dis_buf1[11]=date>10+48;dis_buf1[12]=0x20;dis_buf1[13]=dis_week[4*week];dis_buf1[14]=dis_week[4*week+1];dis_buf1[15]=dis_week[4*week+2];dis_buf2[0]=t2[0];dis_buf2[1]=t2[1];dis_buf2[2]=t2[2];dis_buf2[3]=t2[3];dis_buf2[4]=t2[4];dis_buf2[5]=t2[5];dis_buf2[6]=0x20; //空格if (alarm)dis_buf2[7]=0x01; //alarm=1,显示闹钟启用标致(第二个自定义字符)elsedis_buf2[7]=0x20; //alarm=0,不显示闹钟启用标致dis_buf2[8]=dis_h/10+48;dis_buf2[9]=dis_h>10+48;dis_buf2[10]=0x3a; //':'dis_buf2[11]=dis_m/10+48;dis_buf2[12]=dis_m>10+48;dis_buf2[13]=0x3a;dis_buf2[14]=dis_s/10+48;dis_buf2[15]=dis_s>10+48;}//时间和日期处理程序void pro_timedate(){sec++;if(sec > 59){sec = 0;min++;if(min>59){min=0;hour++;if(hour>23){hour=0;date++;if(month==1||month==3||month==5||month==7||month==8||month==10||month== 12)if (date>31) {date=1;month++;} //大月31 天if (month==4||month==6||month==9||month==11)if (date>30) {date=1;month++;} //小月30 天if (month==2){if( leap_year()) //闰年的条件{if (date>29) {date=1;month++;}} //闰年2 月为29 天else{if (date>28) {date=1;month++;}} //平年2 月为28 天}if (month>12) {month=1;year++;}if (year>99) year=0;}}}week_proc();if (sec==armsec &amt;&amt; min==armmin &amt;&amt; hour==armhour) {if (alarm)TR1=1; //闹钟启用时,报警时间到,启动Timer1}}//显示处理程序void pro_display(){ unsigned char i;lcd_pos(0x00);for (i=0;i<=15;i++){lcd_wdat(dis_buf1[i]);}lcd_pos(0x40);for (i=0;i<=15;i++){lcd_wdat(dis_buf2[i]);}}//Timer0 中断处理程序,秒的产生void timer0() interrupt 1{TH0=0xdc; //Timer0 置10ms 定时初值dc00H(2^16=65536D,dc00H=56320D)TL0=0x00; //定时时间=(65536-56320)*(1/11.0592)*12=10ms (f0=11.0592Mhz) sec100++;if(sec100 >= 100) //1 秒时间(100*10ms=1000ms=1s){sec100 = 0;pro_timedate();// 调用时间和日期处理程序}if (sec&amt;0x01) //"XUELIN" 闪一秒,停一秒update_disbuf(0x00," ",hour,min,sec); //0x00 表示显示00 位置的自定义字符elseupdate_disbuf(0x00,"XUELIN",hour,min,sec);pro_display(); //调用显示处理函数}//按键扫描程序unsigned char scan_key(){skey=0x00; //给变量vkey 置初值skey|=PRE; //读取PRE 键的状态skey=skey<<1; //将PRE 键的状态存于skey 的B1 位skey|=SET; //读取SET 键的状态,并存于skey 的B0 位return skey; //返回skey 的键值(即PRE,SET 的状态)}//外部中断INT0 中断处理程序void int0() interrupt 0{TR0=0; //禁止Timer0IE=0; //禁止中断lcd_wcmd(0x0e); //显示光标"_", 整个光标不闪烁alarm=1;update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据,0x50 表示要显示"P"pro_display(); //调用显示处理程序lcd_pos(0x47); //使光标位于第一个调整项下flag=0;vkey=0x03;while(flag^0x0a){skey = scan_key(); //扫描按键状态if (skey^vkey) //若skey 与vkey 相同,跳出循环,相异执行循环体{ delay(10); //去按键抖动skey = scan_key(); //转回扫描按键状态if (skey^vkey) //若skey 与vkey 相同,跳出循环,相异执行循环体{ vkey=skey; //将skey 的值付给vkeyif (skey==0x01) //PRE 键按下{ flag++; //调整标志位加1switch (flag) //将光标置于相应调整位置{case 1: lcd_pos(0x49);break; //光标置小时报警设置位置case 2: lcd_pos(0x4c);break; // 光标置分钟报警设置位置case 3: lcd_pos(0x4f);break; // 光标置秒时报警设置位置case 4: update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x05);break; // 光标置年调整位置case 5: lcd_pos(0x08);break; // 光标置月调整位置case 6: lcd_pos(0x0b);break; // 光标置日调整位置case 7: lcd_pos(0x49);break; //光标置时调整位置case 8: lcd_pos(0x4c);break; // 光标置分调整位置case 9: lcd_pos(0x4f);break; // 光标置秒调整位置default:break;}}if (skey==0x02) //SET 键按下{ pro_key(); //转设置按键处理程序}}} }lcd_wcmd(0x0c); //设置LCD 开显示及光标不闪烁,不显示"-"lcd_wcmd(0x01);IE=0x8f;TR0=1;//清除LCD 的显示内容//CPU 开中断,INT0,INT1, 开中断//Timer0 启动}//主程序,初始化及初值设定void main(){lcd_init(); //初始化LCDlcd_sef_chr(); //写入自定义字符号hour=0;min=0;sec=0; //开机时的时,分,秒显示armhour=0;armmin=0;armsec=0; //开机时的时,分,秒报警初值year= 5; month=1;date=1; //开机时的年,月,日,星期显示week_proc();alarm=1; //初始开机,启用闹钟IE = 0x8f; //CPU 开中断,INT0,INT1,Timer0,Timer1 开中断IP = 0x04; //设置INT0 为中断最高优先级IT0=0;IT1=0; //外部INT0,INT1 设置为电平触发方式(注意,触发不要选边沿方式,)TMOD = 0x11; //Timer0,Timer1 工作于模式1, 16 位定时方式TH0 = 0xdc;TL0 = 0x00; //Timer0 置10ms 定时初值TH1 = 0xff;TL1 = 0x00; //Timer1 置初值TR0 = 1; //Timer0 启动TR1 = 0;while(1);}//设置按键处理程序void pro_key(){switch (flag){case 0:alarm=!alarm; //启用或关闭闹钟(alarm=1: 启用,alarm=0: 关闭)update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据pro_display(); //调用显示处理lcd_pos(0x47);break; //光标回到原调整位置case 1:armhour++;if (armhour>23) armhour=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec); // 更新显示数据pro_display(); //调用显示处理lcd_pos(0x49);break; //光标回到原调整位置if (armmin>59) armmin=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec);pro_display();lcd_pos(0x4c);break;case 3:armsec++;if (armsec>59) armsec=0;update_disbuf(0x50,"alarm:",armhour,armmin,armsec);pro_display();lcd_pos(0x4f);break;case 4:year++;if (year> 99) year= 0;week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x05);break;case 5:month++;if (month>12) month=1;week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x08);break;case 6:date++;if(month==1||month==3||month==5||month==7||month==8||month==10||month== 12)if (date>31) date=1; //大月31 天if (month==4||month==6||month==9||month==11)if (date>30) date=1; //小月30 天if (month==2){if(leap_year()) //闰年的条件{if (date>29) date=1;} //闰年2 月为29 天else{if (date>28) date=1;}} //平年2 月为28 天week_proc(); //星期自动运算update_disbuf(0x50,"time: ",hour,min,sec);pro_display();lcd_pos(0x0b);break;if (hour>23) hour=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x49);break;case 8:min++;if (min>59) min=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x4c);break;case 9:sec++;if (sec>59) sec=0;update_disbuf(0x50,"time: ",hour,min,sec); pro_display();lcd_pos(0x4f);break;default: break ;}}//Timer1 中断处理程序,产生报警的声音void timer1() interrupt 3{TH1=0xff;TL1=0x00;SPK=~SPK;}//外部中断INT1 中断处理程序,停止报警声音void int1() interrupt 2{if(TR1)TR1=0;}。