数字电压表原理

数字电压表原理
数字电压表原理

第十章数字电压表

第二节单片A/D转换器产品分类

A/D转换器是数字电压表、数字多用表及测试系统的“心脏”。

A/D 转换器大致可分成五大类;

①单片A/D转换器;

②单片DMM专用IC(内含A/D 转换器);

③多重显示仪表专用IC;

④专供数字仪表使用的特制IC(ASIC);

⑤其他通用型A/D转换器,这种芯片仅完成模/数转换,不能直接配数字仪表。

一、单片A/D转换器

单片A/D转换器:采用CMOS工艺将DVM的基本电路(含模拟电路与数字电路)集成在同一芯片上,配以LCD或LED数显器件后能显示A/D 转换结果的集成电路。

按显示位数划分,单片A/D转换器主要有4种:3?位、3?位、4?位、5?位。若按智能化程度来区分,又分纯硬件、带μP的两种。

第三节3?位LCD显示数字电压表

ICL7106是目前广泛应用的一种3?位A/D转换器,能构成3?位液晶显示的数字电压表。

一、ICL7106的工作原理

1. ICL7106的性能特点

(1)+7V~+15V单电源供电,可选9V叠层电池,有助于实现仪表的小型化。低功耗(约16mW),一节9V叠层电池能连续工作200小时或间断使用半年左右。

(2)输入阻抗高(1010Ω)。内设时钟电路、+2.8V基准电压源、异或门输出电路,能直接驱动3?位LCD显示器。

(3)属于双积分式A/D转换器,A/D转换准确度达±0.05%,转换速率通常选2次/秒~5次/秒。具有自动调零、自动判定极性等功能。通过对芯片的功能检查,可迅速判定其质量好坏。

(4)外围电路简单,仅需配5只电阻、5只电容和LCD显示器,即可构成一块DVM。其抗干扰能力强,可靠性高。

3.ICL7106的工作原理

ICL7106内部包括模拟电路和数字电路两大部分,二者是互相联系的。一方面由控制逻辑产生控制信号,按规定时序将多路模拟开关接通或断开,保证A/D 转换正常进行;另一方面模拟电路中的比较器输出信号又控制着数字电路的工作状态和显示结果。下面介绍各部分的工作原理。

(1)模拟电路

模拟电路由双积分式A/D转换器构成。主要包括2.8V基准电压源(E0)、缓冲器(A1)、积分器(A2)、比较器(A3)和模拟开关等组成。缓冲器A4专门用来提高COM端带负载的能力,可谓设计数字多用表的电阻挡、二极管挡和h FE挡提供便利条件。这种转换器具有转换准确度高、抗串模干扰能力强、电路简单、成本低等优点,适合做低速模/数转换。每个转换周期分三个阶段进行:自动调零(AZ)、正向积分(INT)、反向积分(DE),并按照AZ→INT→DE→AZ…的顺序进行循环。令计数脉冲的周期为T CP,每个测量周期共需4000T CP。其中,正向积分时间固定不变,T1=1000T CP。仪表显示值

IN REF

CP 1U U T T N ?=

(10-3-1)

将T 1=1000T CP ,U REF =100.0mV 代入上式得

N =10U IN 或U IN =0.1N (10-3-2)

只要把小数点定在十位上,即可直读结果。满量程时N =2000,此时U M =2U REF =200mV ,仪表显示超量程符号“1”。若需改装成2V 量程的数字电压表,可按表11-3选择元件值。

表11-3 200mV 与2V 量程元件对照

IN 积分电容宜选绝缘性好、介质吸收系数小的聚苯乙烯电容或聚丙烯电容。

为了提高仪表抗串模干扰的能力,正向积分时间(亦称采样时间)T 1应是工频周期的整倍数。我国采用50Hz 交流电网,其周期为20ms ,应选

T 1=n ·20(ms ) (10-3-3)

式中,n =1,2,3,…。例如取n =2、4、5时,T 1=40ms 、80ms 、100ms ,能有效地抑制50Hz 干扰。这是因为积分过程有取平均的作用,只要干扰电压的平均值为零,就不影响积分器输出。但n 值也不宜过大,以免测量速率太低。

(2)数字电路

数字电路如图10-3-3所示。主要包括8个单元:①时钟振荡器;②分频器;③计数器;④锁存器;⑤译码器;⑥异或门相位驱动器;⑦控制逻辑;⑧LCD 显示器。时钟振荡器由ICL7106内部反相器F 1、F 2以及外部阻容元件R 、C 组成。若取R =120k Ω,C =100PF ,则f 0=40kHz 。f 0经过4分频后得到计数频率f CP =10kHz ,即T CP =0.1ms 。此时测量周期T =16000T 0=4000T CP =0.4s ,测量速率为2.5次/秒。f 0还经过800分频,得到50Hz 方波电压,接LCD 的背电极BP 。LCD 须采用交流驱动方式,当笔段电极a ~g 与背电极BP 呈等电位时不显示,当二者存在一定的相位差时,液晶才显示。因此, 可将两个频率与幅度相同而相位相反的方波电压,分别加至某个笔段引出端与BP 端之间,利用二者电位差来驱动该笔段显示。驱动电路采用异或门。其特点是当两个输入端的状态相异时(一个为高电平,另一个为低电平),输出为高电平;反之输出低电平。7段LCD 驱动电路如图10-3-4所示。图中,加在a 、b 、c 笔段上的方波电压与BP 端方波电压的相位相反,存在电位差,使这三段显示。而d 、e 、f 、g 段消隐,故可显示数字“7”。显见,只要在异或门输入端加控制信号(即译码器输出的高、低电平),用以改变驱动器输出方波的相位,就能显示所需数字。 4. ICL7106的功能检查

功能检查的目的是判断ICL7106的质量好坏,进而区分DVM 或DMM 的故障范围究竟在A/D 转换器还是在外围电路。

以200mV 量程的DVM 为例,功能检查分4步进行,内容如下:

①检查零输入时的显示值。将ICL7106的IN +端与IN -端短接,使U IN =0V ,仪表应显示“00.0”; ②检查比例读数。将U REF 端与IN +端短接,用U RE F 来代替U IN ,即U IN =U REF =100.0mV ,仪表应显示“100.0”,此步骤称为“比例读数”检查,它表示U IN /U REF =1时仪表的显示值; ③检查全显示笔段。将TEST 端接U +端,令内部数字地变成高电平,全部数字电路停止工作。因每个笔段上部加有直流电压(不是交流方波!),故仪表应显示全部笔段“1888”(此时小数点驱动电路也不工作)。为避免降低LCD 使用寿命,做此步检查的时间应控制在1分钟之内;

④检查负号显示及溢出显示。将IN +端接U -端,使U IN 远低于-200mV 。仪表应显示“-1”。

图10-3-5 ICL7106的功能检查电路

二、由ICL7106构成的3?位数字电压表

由ICL7106构成的3?位数字电压表电路如图10-3-6所示,基本量程U M =200mV 。R 1、

图10-3-6 由ICL7106构成3 ? 位数字电压表的电路

C 1分别为振荡电阻与振荡电容。R 2与RP 构成基准电压分压器,RP 宜采用精密多圈电位器,调整RP 使U REF =U M /2=100.0mV ,满量程即定为200mV ,二者呈1∶2的关系。R 3、C 3为模拟输入端高频阻容式滤波器,以提高仪表的抗干扰能力。C 2、C 4分别为基准电容和自动调零电容。R 4、C 5依次为积分电阻和积分电容。仪表采用9V 叠层电池供电,测量速率约2.5次/秒。IN -端、U REF -端、COM 端互相短接。

思考题:

1. 当电源电压E =9V 时,画出ICL7106的U +、U -、COM 、TEST 引脚的电位分布图(以COM 引脚的电位做参考点)。

2. 简述对ICL7106进行功能检查的方法与步骤。

3. 若用一根导线将ICL7106的第40脚(OSC1)与第37脚(TEST)短路,强迫内部数字电路停止工作,即可实现读数保持功能。请说明其原理及使用注意事项(提示:此时采用的是直流驱动方式!)。

第十章数字电压表

第四节3?位LED显示数字电压表

MC14433是美国摩托罗拉公司生产的单片3?位A/D转换器,它适合构成带BCD码输出的3?位LED显示数字电压表,是目前应用较为普遍的一种低速A/D转换器。

一、MC14433的性能特点

(1)MC14433属于CMOS大规模集成电路,其转换准确度为±0.05%。内含时钟振荡器,仅需外接一只振荡电阻。能获得超量程(OR)、欠量程(UR)信号,便于实现自动转换量程。能增加读数保持(HOLD)功能。电压量程分两挡:200mV、2V,最大显示值分别为199.9mV、1.999V。量程与基准电压呈1∶1的关系,即U M=U REF。

(2)需配外部的段、位驱动器,采用动态扫描显示方式,通常选用共阴极LED数码管。

(3)有多路调制的BCD码输出,可直接配μP构成智能仪表。

(4)工作电压范围是±4.5 V~±8V,典型值为±5V,功耗约8mW。

二、MC14433的工作原理

1. MC14433的引脚功能

MC14433采用DIP-24封装。

U DD端、U EE端分别接+5V、-5V电源,U EE端向内部模拟电路提供负电源,负载电流约为0.8mA。U AG为模拟地。U SS端为输出信号的公共地,该端接U AG端时输出电平变化范围是U DD~U AG,接U EE 端时是U DD~U EE,U I为模拟电压输入端,接U IN。U REF是外接基准电压的正端(负端在片内接U AG 端,未引出)。

DU为实时输出控制端,亦称数据更新端。若在双积分过程中的第5阶段开始前从DU端输入一个正脉冲,则本次A/D转换结果依次经锁存器和多路选择开关输出,否则输出端仍保持原有数据不变。若将DU端与EOC端相连,则每次A/D转换结果都被输出。将DU端接U SS端时可实现读数保持。

CL I、CL O分别为时钟输入、输出端,外接振荡电阻即可产生时钟信号。EOC为A/D转换结束标志(正脉冲)输出端。OR是超量程信号输出端(负逻辑)。DS1~DS4为多路调制位选通信号输出端,其中DS1为千位,DS4为个位。Q0~Q3为BCD码输出端。

2. M14433的工作原理

MC14433的原理框图如图10-4-2所示。完成一次A/D 转换大约需要16400个时钟周期(T0)。整个A/D转换分6个阶段进行:①模拟调零,占4000T0;②数字调零,小于800 T0;③重复模拟调零占4000 T0;④正向积分,T1=4000 T0;⑤重复数字调零,小于800 T0;⑥反向积分,T2≤4000 T0。其中,阶段①与阶段③都是消除缓冲器和积分器的失调电压。在阶段②用计数器将比较器的失调电压△U OS记下来,存入锁存器中;阶段⑤则是在反向积分之前先扣除△U OS的影响,使计数器复位。

数字电路包括时钟振荡器、3?位计数器、锁存器、多路选择开关、控制逻辑、极性检测器和过载(超量程)指示器。MC14433内部没有段译码器。时钟振荡器由内部反相器、振荡电容以及外部振荡电阻R C所构成,电路如图10-4-3a所示。当R C分别取750kΩ、470kΩ、360kΩ时,时钟频率f0依次为50kHz、66kHz、100kHz(近似值)。f0-R C的典型特性曲线如b图所示。为提高抗工频干扰的能力,f0及正向积分时间T1应为50Hz整倍数。

图10-4-2 MC14433的原理框图

MC14433最高位的真值表见表10-4-1。UR表示欠量程,对于2V基本量程而言,当U IN<9%U M =0.180V时为欠量程。OR表示超量程,即U M>1.999V。Q3代表最高位数据的反码,当千位数据为1时Q3=0,该位显示1;千位为0时Q3=1,该位消隐。因此,要译出千位上的数据,还需要给Q3端外接一级反相器。由表可见,千位只有8种计数状态,分别对应于十进制数14、10、15、11、4、0、7、3,因前四种数已超出BCD码范围,故外部BCD-7段译

表10-4-1 MC14433最高位真值表(DS=1)

通b、c这两个笔段,故只能显示出数字1。Q2表示信号极性,Q2=1为正极性,Q2=0为负极性。Q0=1表示U IN超出正常范围。超量程时,U IN>1.999V,Q0=1,Q3=0,OR=0。欠量程时,U IN<

0.180V,Q0=1,Q3=1,OR=1。而在正常测量范围内,0.180V≤U IN≤1.999V,Q0=0,OR=1。

三、由MC14433构成的3?位数字电压表

(1)200mV量程的3?位LED显示数字电压表

3?位

)约250mW。其超量程显示特点是,当U IN>199.9mV时,OR端呈低电平、使段译码驱动器CD4511的消隐控制端BI=0,强迫共阴极显示器全部消隐。位选通信号经过MC1413分别接4只数码管的公共阴极,在DS1~DS4位选通信号的控制下进行动态扫描显示。MC1413属于7路达林顿驱动器(现仅用其中5路),它有两个作用:第一,将DS1~DS4反相成低电平有效,以便接LED数码管的公共阴极;第二,增加驱动能力,其β=l500,I CM≥200mA,7路同时工作时每路仍可输出40mA电流。利用MC1403向MC14433提供200.0mV的基准电压,RP为精密多圈电位器。实选R2=470kΩ时f0≈50kHz。R3~R9为笔段限流电阻。R DP、R M分别为小数点、负极性笔段的限流电阻。负极性显示的原理是,当DS=1(正好扫到千位)且U IN<0时,从Q2端输出负极性信号(低电平),加至MC1413的第5脚。因MC1413属于集电极开路输出(OC门),故第12脚无输出,相当于开路。+5V电压就经过R M接千位LED的g段,由于此时千位已被选中并且该位公共阴极接低电平,故g段发光,显示负极性符号。R1为积分电阻,C1、C2分别是积分电容和自动调零电容。

(1)读数保持电路

MC14433实现读数保持电路如图10-4-6所示,在EOC端与DU端串入100kΩ电阻。当开关S 断开时能正常进行A/D转换,显示值被不断地刷新;闭合S时DU=0,A/D 转换结果就长期保持下来,此时A/D 处于锁存状态。保持时间即开关闭合时间。

(2)超量程闪烁报警电路

图10-4-5示出的数字电压表亦有不足之处,在超量程时强迫显示器消隐,这容易使操作人员误以为仪表发生故障或突然停电了。改进方案是增加如图10-4-7所示的超量程闪烁报警

图10-4-7 超量程闪烁报警电路

电路。现利用双D触发器CD4013的一半作二分频器。OR作触发器复位信号EOC作时钟脉冲。常态下,OR=1→Q=1→BI=1,能正常显示;一旦发生超量程,OR=0,EOC信号经二分频后加至CD4511的BI端,令显示器低频闪烁。1/2CD4013有两个作用:第一,将EOC窄脉冲变成方波;第二,对f EOC 进行二分频,降低闪烁频率以取得最佳报警效果。例如,当f0=50kHz时,f EOC=f0/16400≈3Hz,经二分频后f=1.5Hz方波,周期T=0.67s。这样,BI端就加上交替变化的高、低电平,强迫LED显示器以1.5Hz的低频进行闪烁,以示超量程报警。

思考题:

1. 将MC14433的Q0端与OR端进行“逻辑与”,能否获得欠量程信号?画出电路图。

2. 简述MC14433的超量程报警电路的工作原理。D触发器在该电路中起什么作用?能否直接把EOC信号接BI端来达到过载闪烁之目的?为什么?

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

多量程直流数字电压表

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

数字电压表设计

电子线路硬件课程设计总结报告 课题:数字电压表设计 班级: 作者: 学号: 指导老师:

摘要 一个测试结果稳定、准确的数字电压表,既能减少了使用者的工作量,又提高了测量的精准度,而且人为误差被大大减小,方便与电路打交道的人快速有效的完成自己的工作。 本项目设计并实现了一个能够对0-200V范围的直流电压进行测量的数字电压表,测量分为4挡:200mV、2V、20V和200V,手动控制档位选择,显示部分小数点自动实现切换。项目基于AT89C51单片机,拓展AD转换、显示部分。不同档位的待测电压通过不同档位的衰减电路后变为0-200mV,再通过一个OPA336一致放大到0-2V送入AD的输入端,然后通过芯片AT89C51内的程序控制AD转换并输出。不同档位的电压信号又不同的程序控制输出到数码管显示。 整个电路连线简单易于实现,而且成本很低,测出的电压精度也足够满足需求。 关键字:数字电压表; AT89C51单片机;易于实现

Abstract A digital voltmeter which is stable and accurate can not only reduce the work of the user, but also free off the error produced by using wrong. It is convenient to people who work with the circuit. This voltmeter is designed to measure a voltage between 0 to 200. It’s divided into four gears as 200 millivolt, 2 volt, 20volt, and 200volt. Gears changing is worked by hang. The project is base on the chip AT89C51 of one-chip computer. An analog to digital converter, a display section, and a voltage attenuation are attached to the chip and they make up the design. The voltage of different gears are changed into 0-200 millivolt. Then they are sent to an OPA336, and it’s output is 0-2 volt. The output is sent to the analog to digital converter.Then the chip control the analog to digital converter’s output to the displaying section. The whole circuit is easy. And although it’s cost is very low, the accuracy of the outcome is fine. key words: digital voltmeter, one-chip computer, AT89C51

7017交流电压表的制作

7107是一块直流电压表,要想测交流电,需先把交流转换成直流 本电路中,输入的是0~200.0mV 的交流信号,输出的是0~200.0mV 的直流信号,从信号幅度来看,并不要求电路进行任何放大,但是,正是电路本身具有的放大作用,才保证了其几乎没有损失地进行AC -DC 的信号转换。因此,这里使用的是低功耗的高阻输入运算放大器,其不灵敏区仅仅只有2mV 左右,在普通数字万用表中大量使用,电路大同小异 ICL7107 安装电压表头时的一些要点:按照测量=±199.9mV 来说明。

1.辨认引脚:芯片的第一脚,是正放芯片,面对型号字符,然后,在芯片的左下方为第一脚。也可以把芯片的缺口朝左放置,左下角也就是第一脚了。 许多厂家会在第一脚旁边打上一个小圆点作为标记。 知道了第一脚之后,按照反时针方向去走,依次是第 2 至第40 引脚。(1 脚与40 脚遥遥相对)。 2.牢记关键点的电压:芯片第一脚是供电,正确电压是DC5V 。第36 脚是基准电压,正确数值是100mV,第26 引脚是负电源引脚,正确电压数值是负的,在-3V 至-5V 都认为正常,但是不能是正电压,也不能是零电压。芯片第31 引脚是信号输入引脚,可以输入±199.9mV 的电压。在一开始,可以把它接地,造成"0"信号输入,以方便测试。 3.注意芯片27,28,29 引脚的元件数值,它们是0.22uF,47K,0.47uF 阻容网络,这三个元件属于芯片工作的积分网络,不能使用磁片电容。芯片的33 和34 脚接的104 电容也不能使用磁片电容。 4.注意接地引脚:芯片的电源地是21 脚,模拟地是32 脚,信号地是30 脚,基准地是35 脚,通常使用情况下,这4 个引脚都接地,在一些有特殊要求的应用中(例如测量电阻或者比例测量),30 脚或35 脚就可能不接地而是按照需要接到其他电压上。--本文不讨论特殊要求应用。 5.负电压产生电路:负电压电源可以从电路外部直接使用7905 等芯片来提供,但是这要求供电需要正负电源,通常采用简单方法,利用一个+5V 供电就可以解决问题。比较常用的方法是利用ICL7660 或者NE555 等电路来得到,这样需要增加硬件成本。我们常用一只NPN 三极管,两只电阻,一个电感来进行信号放大,把芯片38 脚的振荡信号串接一个20K -56K 的电阻连接到三极管"B"极,在三极管"C"极串接一个电阻(为了保护)和一个电感(提高交流放大倍数),在正常工作时,三极管的"C"极电压为2.4V -2.8V 为最好。这样,在三极管的"C"极有放大的交流信号,把这个信号通过2 只4u7 电容和2 支1N4148 二极管,构成倍压整流电路,可以得到负电压供给ICL7107 的26 脚使用。这个电压,最好是在-3.2V 到-4.2V 之间。 6.如果上面的所有连接和电压数值都是正常的,也没有"短路"或者"开路"故障,那么,电路就应该可以正常工作了。利用一个电位器和指针万用表的电阻X1 档,我们可以分别调整出50mV,100mV,190 mV 三种电压来,把它们依次输入到ICL7107 的第31 脚,数码管应该对应分别显示50.0,100.0,190.0 的数值,允许有2 -3 个字的误差。如果差别太大,可以微调一下36 脚的电压。 7.比例读数:把31 脚与36 脚短路,就是把基准电压作为信号输入到芯片的信号端,这时候,数码管显示的数值最好是100.0 ,通常在99.7 -100.3 之间,越接近100.0 越好。这个测试是看看芯片的比例读数转换情况,与基准电压具体是多少mV 无关,也无法在外部进行调整这个读数。如果差的太多,就需要更换芯片了。 8.ICL7107 也经常使用在±1.999V 量程,这时候,芯片27,28,29 引脚的元件数值,更换为0.22uF,470K,0.047uF 阻容网络,并且把36 脚基准调整到1.000V 就可以使用在±1.999V 量程了。 9.这种数字电压表头,被广泛应用在许多测量场合,它是进行模拟-数字转换的最基本,最简单而又最低价位的一个方法,是作为数字化测量的一种最基本的技能。

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

四位半数字电压表(长大版)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 1 前言 随着电子科学技术、传感技术、自动控制技术和计算机的发展,电阻、电压、电流等数值的测量变得越来越常见,其中电压的测量最为常见。传统的指针式电压表应经无法满足如今高精度的要求,数字电压表的诞生很好地解决了这一问题。 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。且数字电压表精度高,抗干扰能力强,可扩展性强,集成方便,读数方便。 目前由各种A/D转换器构成的数字电压表,已被广泛应用于电子及电工测量,工业自动化仪表,自动测试系统等智能化测试领域,显示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到站新水平。综上所述,数字电压表在现在及将来都会有广大的应用。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊1.1总体方案设计论证 1.1.1设计要求 1. 设计数字电压表电路。 2. 测量范围:直流电压0~199.99mV,0~1.9999V,0~19.999V,0~199.99V。 3.用199.99mV或1.9999V的模拟电压作为输入,校准电压表的读数。 4. 选做内容:自动量程切换。 1.1.2设计目的 1.电子技术课程设计是学习电子技术十分重要的环节之一,是对学习电子技术知识的综合性实践训练。对于巩固所学的电子技术理论知识,培养解决实际问题的能力,加强基本的技能训练具有明显的积极作用。 2. 掌握数字电压表的设计原理,组装、焊接与调试方法。 3. 熟悉集成电路ICL7135、ICM7556、74HC04、74LS47的使用方法,并掌握其工作原理。 1.2数字电压表的特点及发展趋势 数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。 数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。 1.2.1 数字电压表的特点 1.显示清晰直观,读数准确 传统的模拟式仪表必须借助于指针和刻度盘进行读数,在读数过程中不可避免的会引入人为的测量误差。数字电压表则采用先进的数显技术,使测量结果一目了然,只要仪表不发生跳读现象,测量结果就是唯一的。 新型数字电压表还增加了标志符显示功能,包括测量项目、符号单位和特殊符号、为解决DVM不能反映被测电压的连续变化过程以及变化趋势这一难题,一种"数字/模拟条图"仪表业已问世。"模拟图条"(Anal of Bargraph)有双重含义:第一,被测量为模拟量;第二,利用条状图形来模拟被测量的大小及变化趋势。这类仪表将数字显示与高分辨率模拟条图显示集于一身,兼有DVM与模拟电压表之优点。智能数字电压表均带微处理器和标准接口,可配合计算机和打印机进行数据处理或自动打印,构成完整的测试系统。

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

交流数字电压表的设计

电气测量技术 课程设计 题目:交流电压表设计 学院:电气信息工程学院 专业班级:电气工程及其自动化1623 姓名:黄铭(201650712326) 完成时间:2017年5月26

目录 引言 (2) 1 测量原理及系统结构 (2) 2 硬件电路设计 (3) 2.1 A/D转换模块 (3) 2.2 单片机系统 (4) 2.2.1 AT89C51性能和功能 (4) 2.3 复位电路和时钟电路 (5) 2.3.1 复位电路设计 (5) 2.3.2 时钟电路设计 (5) 2.4 LED显示系统设计 (6) 2.4.1 LED显示器的选择 (6) 2.4.2 LED显示器与单片机接口设计 (7) 2.5 总体电路设计 (7) 3 软件设计 (9) 3.1 程序设计总方案 (9) 3.2 系统子程序设计 (9) 3.2.1 初始化程序 (9) 3.2.2 A/D转换子程序 (9) 3.2.3 显示子程序 (10) 4 仿真调试及测试结果 (11) 4.1 软件调试 (11)

4.2 显示结果及误差分析 (11) 4.2.1 显示结果 (11) 4.2.2 误差分析 (13) 结论 (14) 参考文献 (15) 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的

简易数字电压表的设计

一、简易数字电压表的设计 l.功能要求 简易数字电压表可以测量0~5V的8路输入电压值,并在四位LED数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V,测量误差约为土0.02V。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 图1-1 数字电压表系统设计方案 3.系统硬件电路的设计 简易数字电压测量电路由A/D转换、数据处理及显示控制等组成,电路原理图如图1-2所示。A/D转换由集成电路0809完成。0809具有8路模拟输人端口,地址线(23~25脚)可决定对哪一路模拟输入作A/D转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us宽高电平脉冲时,就开始A/D 转换,7脚为A/D转换结束标志,当A/D转换结束时,7脚输出高电平,9脚为A/D 转换数据输出允许控制,当OE脚为高电平时,A/D转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A/D转换数据读入用,P2端口用作0809的A/D转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H~77H内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将

简易交直流电压表

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计 院(系)专业 班级学号姓名 课程设计题目简易数字电压表电路的设计 课程设计时间: 年月日至年月日 课程设计的内容及要求: 一、设计说明 设计一个简易数字电压表,它可以测量直流、交流电压。其参考原理框图如图1所示。 图1数字电压表的原理框图 二、技术指标 测量电压的技术指标如表所示。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。各量程的转换采用开关转换。

2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。 2.进行实验数据处理和分析。 五、推荐参考资料 1.沙占友、李学芝著.中外数字万用表电路原理与维修技术. [M]北京:人民邮电出版社,1993年 2. 阎石. 数字电子技术基础. [M]北京:高等教育出版社,2006年 3. 童诗白、华成英.模拟电子技术基础. [M]北京:高等教育出版社,2006年 4. 戴伏生.基础电子电路设计与实践. [M]北京:国防工业出版社,2002年 5. 谭博学主编.集成电路原理与应用. [M]北京:电子工业出版社,2003年 六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表

一、概述 数字电压表既是常用的一种数字电压表,也是构成数字万用表的基本电路。随着科技的发展,电子产品在不断更新,但数字电压表是永远不会在电子产品中消失。 设计一个简易数字电压表,它可以测量直流、交流电压。测量电压量程为2V、20V,输入电阻为10MΩ,分辨率分别对应为1mV、10mV;准确度是在温度为23±5℃情况下测直流时为±(0.5%RDG+3字),测交流时为±(1.0%RDG+3字);输入电阻为10MΩ;最大允许直流电压为±500V,最大允许交流电压为500V。 本设计是对电压测量电路作单独的研究,从实质上去了解万用表中测量电压的过程。电路涉及到对电路、低频、数字电路等知识的考查。 二、方案论证 方案一: 方案一原理方框图如图1所示。数字电压表由分压电路,输入保护及缓冲电路,交、直流变换电路,压频转换电路、译码显示电路组成。分压电路在电路中实现电压倍率变换起到将大电压转换成小电压的作用;输入保护及缓冲电路在电路中起到避免大电压输入对电路的烧坏;交、直流变换电路起到将交流电压转换成直流电压,且直流电压值为交流电压的有效值;压频转换电路将电压转换成对应的线性频率。译码显示电路时将频率的数值通过LED数码管显示出来。 图1 方案1的原理框图 方案二: 方案二的原理框图如图2所示,电路由分压电路,输入保护及缓冲电路,交、直流变换电路,A/D转换电路,单片机及译码显示电路组成。前几个模块的功能与方案一相同,不同的是方案中用到单片机对经过A/D转换器后的数字信号进行记录然后通过译码显示电路进行显示。

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

交流数字电压表的设计

目录 摘要 (1) Abstract: (1) 1 引言 (2) 2 设计总体方案 (2) 2.1设计要求 (2) 2.2 设计方案 (2) 3 硬件电路设计 (3) 3.1 A/D转换模块 (3) 3.2 单片机系统 (4) 3.2.1 AT89C51性能和功能 (4) 3.3 复位电路和时钟电路 (5) 3.3.1 复位电路设计 (5) 3.3.2 时钟电路设计 (6) 3.4 LED显示系统设计 (6) 3.4.1 LED显示器的选择 (6) 3.4.2 LED显示器与单片机接口设计 (7) 3.5 总体电路设计 (7) 4 程序设计 (9) 4.1 程序设计总方案 (9) 4.2 系统子程序设计 (9) 4.2.1 初始化程序 (9) 4.2.2 A/D转换子程序 (9) 4.2.3 显示子程序 (10) 5 仿真 (10) 5.1 软件调试 (10) 5.2 显示结果及误差分析 (11) 5.2.1 显示结果 (11) 5.2.2 误差分析 (13) 结论 (14) 参考文献 (14)

附录一程序代码 (16) 附录二仪器设备清单 (18) 致谢...................................................................................................................... 错误!未定义书签。

基于单片机的简易数字电压表的设计 摘要:本文介绍了一种基于单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换主要由芯片ADC0808来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片AT89C51来完成,其负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;此外,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-5V的1路模拟直流输入电压值,并通过一个四位一体的7段数码管显示出来。 关键词:单片机;数字电压表;A/D转换;AT89C51;ADC0808 Design of Simple Digital Voltmeter Based on Single-chip Microcontroller Abstract:This paper which introduces a kind of simple digital voltmeter is based on single-chip microcontroller design. The circuit of the voltage meter is mainly consisted of three mould pieces: A/D converting mould piece, A/D converting is mainly completed by the ADC0808, it converts the collected analog data into the digital data and transmits the outcome to the manifestation controlling mould piece. Data processing is mainly completed by the AT89C51 chip, it processes the data produced by the ADC0808 chip and generates the right manifestation codes, also transmits the codes to the manifestation controlling mould piece. Also, the AT89C51 chip controls the ADC0808 chip to work. The voltmeter features in simple electrical circuit, lower use of elements, low cost, moreover, its measuring precision and reliability. The voltmeter is capable of measuring voltage inputs from 1 route ranging from 0 to 5 volt, and displaying the measurements though a digital code tube of 7 pieces of LED. Keywords:Single-chip microcontroller; Digital voltmeter; A/D converter; AT89C51; ADC0808

实验七 直流数字电压表设计

学生姓名:学号:班级:时间: 课程名称:单片机原理及应用总学时:48 教师成绩: 实验名称:实验七——直流数字电压表设计 实验目的:掌握LED动态显示和A/D转换接口设计方法。 实验内容: 根据如下电路原理图,编程实现查询法A/D转换和转换结果的十进制动态显示功能。 编程原理: LED显示器和ADC0808均采用通用IO口方式与单片机接口。 LED动态显示编程原理:将待显示数据拆解为3位十进制数,并分时地将其在相应LED位上进行显示。1次完整的输出过程为:最低位位码清零→最低位数据送P0口→最低位位码置1→软件延时→中间位位码清零→中间位数据送P0口→中间位位码置1→软件延时→最高位位码清零→最高位数据送P0口→最高位位码置1→软件延时。如此无限循环可实现动态显示。 ADC0808编程原理:被测模拟量由0#通道输入(ADDA,ADDB,ADDC均接地可选通0通道);转换启动信号(START和ALE)可由软件方式产生P2.5正脉冲;转换结束信号(EOC)可通过查询P2.6的电平变化获得;输出使能信号(OE)可由软件方式产生P2.7正脉冲。 实验要求:

1、虚拟时钟信号发生器用法可参阅P262阅读材料,C51程序编写可参考以下程序模板; ———————————————— #include ______________ //定义ADC启动位变量,_st ______________ //定义ADC结束位变量,_eoc ______________ //定义ADC锁存位变量,_oe ______________ //定义数码管最低位位变量,led0 ______________ //定义数码管第二位位变量,led1 ______________ //定义数码管第三位位变量,led2 ______________ //定义AD转换结果存放变量,ad_result ______________ //定义显示字模数组并赋初值,table //0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f void delay(unsigned int time){ //延时函数 unsigned int j = 0; for(;time>0;time--) for(j=0;j<125;j++); } void disp(void){ //动态显示函数 ___________________ // led0清0 P0= _______________ //输出最低位数据的字模 ___________________ //延时10ms ___________________ // led0置1 ___________________ // led1清0 P0= _______________ //输出中间位数据的字模 ___________________ //延时10ms ___________________ // led1置1 ___________________ // led2清0 P0= _______________ //输出最高位数据的字模 ___________________ //延时10ms ___________________ // led2置1 } void main(void){ while(1){ ___________ //模仿_st正脉冲(低_高_低) ___________ ___________ ___________ //查询_eoc,若_eoc =0,原地循环 ___________ //若_eoc =1,_oe置1 ___________ //读取AD转换结果 ___________ //_oe清0 ___________ //动态显示函数调用 } } 2、提交实验报告:包括电路原理图,虚拟时钟信号发生器设置、C51源程序,运行效果图、讨论软件延时长短对动态显示效果的影响、实验小结。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

专业四位半数字电压表课程设计任务书

长春大学 课程设计任务书 题目名称四位半数字电压表 院(系)电子信息工程学院 专业名称自动化 班级自动化0210405 学生姓名张雁南 指导教师王实(副教授) 起止日期2011.12.12—2011.12.23

课程设计任务书 技术参数)及要求 题目名称(包括主要 课程设计题目:4?数字电压表 主要技术要求:⒈测量范围:-1.9999V ~+1.9999V ⒉测量范围内,准确度为±1个字 ⒊能够自动调零,0V 输入时读数为 “0000”最高位自动消隐 设 计内容及工作量 ⒈设计4?数字电压表电路原理图 ⒉组装与调试4?数字电压表 ⒊编写课程设计说明书及绘制原理图 包括:(1)电路原理图A3图纸一张(要求:2B 铅笔或碳素笔手工绘制) (2)理论设计 、原理分析、安装调试与结论等设计说明书一份,3000字以上。(要求:按长春大学课程设计规范化要求打印成册)。 主要参考资料 1、《电子技术试验与课程设计》清华大学出版社 赵淑范 王宪伟 编著 2006年8月 2、《电子线路设计·实验·测试》华中科技大学出版社 谢自美 主编 2000年7月 3、《电子技术基础实验与课程设计》电子工业出版社 高吉祥 主编 2002年2月 4、《电工电子技术实验与课程设计》中国科学技术大学出版社 罗会昌 主编1996年1月 5、《电子电路实作技术》金华科技图书股份有限公司 1982年 6、《常用集成芯片使用》北京理工大学出版社 1995年

进度计划表 阶段日期计划完成工作 量 指导教师检查意见备注 12月12日布置设计任务、介 绍原理及要求、查 阅资料 12月12~6月15日安装、焊接与调试 电路 12月16日调试参数测试 12月19日~12月21日编写课程设计说明书、绘制原理图

相关文档
最新文档