简易数字频率计课程设计报告

合集下载

数字频率计课程设计报告

数字频率计课程设计报告

《数字频率计》技术报告一、问题的提出在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。

频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。

而频率计则能够快速准确的捕捉到被测信号频率的变化。

在传统的生产制造企业中,频率计被广泛的应用在生产测试中。

频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。

在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。

在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。

二、解决技术问题及指标要求1、技术指标被测信号:正弦波、方波或其他连续信号;采样时间:1秒(0.1秒、10秒);显示时间:1秒(2秒、3秒......);LED显示;灵敏度:100mV;测量误差:±1Hz。

数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。

其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。

一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。

2、设计要求可靠性:系统准确可靠。

稳定性:灵敏度不受环境影响。

经济性:成本低。

重复性:尽量减少电路的调试点。

低功耗:功率小,持续时间长。

三、方案可行性分析(方案结构框图)率,而且还可以测量它们的周期。

经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

简易数字频率计设计-现代电子设计课程设计报告

简易数字频率计设计-现代电子设计课程设计报告

河南科技大学课程设计说明书课程名称__现代电子系统课程设计__题目___简易数字频率计设计__学院___电子信息工程学院___班级_电子信息科学与技术091班_学生姓名_____李可以______指导教师__齐晶晶、张雷鸣___日期2012.12.21课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名李可以专业班级电信科091 设计题目简易数字频率计设计一、课程设计目的掌握高速AD的使用方法;掌握频率计的工作原理;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。

二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。

(1)基本要求:a.被测信号的频率范围为1~20kHz,用4位数码管显示数据,十进制数值显示。

b.被测信号为幅值1~3V的方波、脉冲信号。

c.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。

d.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。

(2)发挥部分a.修改设计,实现自动切换量程。

b.扩宽被测信号能测量正弦波、三角波。

c.其它。

三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社 2008.1潘松黄继业《EDA技术实用教程》科学出版社 2006.10齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8指导教师签字: 2012年 12月3日摘要频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。

将使整个系统大大简化。

简易数字频率计设计报告

简易数字频率计设计报告

简易数字频率计设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路设计计算与分析 (4)四.总结与心得..................................... 错误!未定义书签。

2五.附录........................................... 错误!未定义书签。

3六.参考文献....................................... 错误!未定义书签。

8一、 设计任务与要求1.1位数:计4位十进制数。

1.2.量程第一档 最小量程档,最大读数是9.999KHZ ,闸门信号的采样时间为1S. 第二档 最大读数是99.99KHZ ,闸门信号采样时间为0.1S.第三档 最大读数是999.9KHZ ,闸门信号采样时间为10mS.第四档 最大读数是9999KHZ ,闸门信号采样时间为1mS.1.3 显示方式(1)用七段LED 数码管显示读数,做到能显示稳定,不跳变。

(2)小数点的位置随量程的变更而自动移动(3)为了便于读数,要求数据显示时间在0.5-5s 内连续可调1.4具有自检功能。

1.5被测信号为方=方波信号二、设计方案的选择与论证2.1 算法设计频率是周期信号每秒钟内所含的周期数值。

可根据这一定义采用如图 2-1所示的算法。

图2-2是根据算法构建的方框图。

被测信号图2-2 频率测量算法对应的方框图 输入电路 闸门 计数电路 显示电路闸门产生整体方框图及原理频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。

被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。

时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。

被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。

简易数字频率计(数字电路课程设计)

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。

两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。

当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。

当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。

其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。

时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。

计数公式:]3)2243[(443.1CRRRf++=来确定。

与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。

数字频率计课程设计报告

数字频率计课程设计报告

数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。

技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。

课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。

学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。

通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。

二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。

2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。

3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。

4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。

简单数字频率计1

简单数字频率计1

《电工与电子技术基础》课程设计报告题目简易数字频率学院(部汽车学院专业汽车运用工程班级22021002学生姓名苏奋学号22021002186 月5 日至 6 月12 日共1 周指导教师(签字)一、课题名称与技术要求<1>名称:简单数字频率计摘要数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。

它的基本功能是测量正弦信号、方波信号、三角波信号以及其他各种单位时间内变化的物理量。

本设计中使用的是直接测频法,即用计数器在计算1s内输入信号周期的个数;并使用了模拟软件Multisim进行仿真。

应用石英晶体振荡器构成稳定的多谐振荡器,并用74LS160和74LS161进行分频得到时基信号。

时基信号作为闸门信号来控制计数器74LS160工作,进行计数,通过译码显示电路在数码显示管上显示最终结果。

并且,时基信号还要通过555构成的单稳态触发器产生锁存信号和清零信号,锁存信号使输出稳定,清零信号清空计数器,为下次计数做准备。

当输入频率超过量程时,电路会自动报警。

关键字:直接测频法时基信号放大整形震荡分频计数锁存清零<2>主要技术指标和要求:1.被测信号的频率范围为100HZ~100KHZ2.输入信号为正弦信号或方波信号3.四位数码管显示所测频率,并用发光二极管表示单位4.具有超量程报警功能扩展1.被测信号的频率范围扩展到1HZ~999.9KHZ2.测量频率分为3档1HZ~9999HZ,10HZ~99.99KHZ,100HZ~999.9KHZ3.输入信号可为正弦信号、三角波信号和方波信号4.可测被测信号的周期第一章系统综述1.1总体思路对比与选择:一、总体思路:将输入信号进行放大整形之后,利用闸门信号(时基信号)对被测信号进行脉冲计数,然后通过译码显示电路进行读数。

二、实现方式:●直接计数式测频:将经过整形放大的待测信号,送入闸门信号中,在一个闸门信号周期错误!未找到引用源。

对待测信号进行计数,所得的计数值错误!未找到引用源。

简易数字频率计设计报告

简易数字频率计设计报告

根据系统设计要求, 需要实现一个 4 位十进制数字频率计, 其原理框 图如图 1 所示。

主要由脉冲发生器电路、 测频控制信号发生器电路、 待测 信号计数模块电路、 锁存器、 七段译码驱动电路及扫描显示电路等模块组 成。

由于是4位十进制数字频率计, 所以计数器CNT10需用4个,7段显示译 码器也需用4个。

频率测量的基本原理是计算每秒钟内待测信号的脉冲个 数。

为此,测频控制信号发生器 F_IN_CNT 应设置一个控制信号时钟CLK , 一个计数使能信号输出端EN 、一个与EN 输出信号反 向的锁存输出信号 LOCK 和清零输出信号CLR 。

若CLK 的输入频率为1HZ ,则输出信号端EN 输出 一个脉宽恰好为1秒的周期信号, 可以 作为闸门信号用。

由它对频率计的 每一个计数器的使能端进行同步控制。

当EN 高电平时允许计数, 低电平时 住手计数,并保持所计的数。

在住手计数期间,锁存信号LOCK 的上跳沿 将计数器在前1秒钟的计数值锁存进4位锁存器LOCK ,由7段译码器译出 并稳定显示。

设置锁存器的好处是: 显示的数据稳定, 不会由于周期性的标准时钟 CLKEN待测信号计数电路脉冲发 生器待测信号F_INLOCK锁存与译 码显示驱 动电路测频控制信 号发生电路CLR扫描控制数码显示清零信号而不断闪烁。

锁存信号之后,清零信号CLR对计数器进行清零,为下1秒钟的计数操作作准备。

时基产生与测频时序控制电路主要产生计数允许信号EN、清零信号CLR 和锁存信号LOCK。

其VHDL 程序清单如下:--CLK_SX_CTRLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLK_SX_CTRL ISPORT(CLK: IN STD_LOGIC;LOCK: OUT STD_LOGIC;EN: OUT STD_LOGIC;CLR: OUT STD_LOGIC);END;ARCHITECTURE ART OF CLK_SX_CTRL ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF Q="1111"THENQ<="0000";ELSEQ<=Q+'1';END IF;END IF;EN<=NOT Q(3);LOCK<=Q(3)AND NOT(Q(2))AND Q(1);CLR<=Q(3)AND Q(2)AND NOT(Q(1));END PROCESS;END ART;测频时序控制电路:为实现系统功能,控制电路模块需输出三个信号:一是控制计数器允许对被测信号计数的信号EN;二是将前一秒计数器的计数值存入锁存的锁存信号LOCK;三是为下一个周期计数做准备的计数器清零信号CLR。

课程设计实验报告(简易频率计)

课程设计实验报告(简易频率计)

实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。

(2)掌握ispLEVER 软件的使用方法。

(3)掌握用VHDL 语言设计数字逻辑电路。

(4)掌握ISP 器件的使用。

二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。

闸门只有1s 一档。

测量结果在数码管上显示出来。

不测信号脉宽。

用一片ISP芯片实现此设计,并在实验台上完成调试。

实验设计:1.产生准确闸门信号(1s)。

100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。

6.数码管高低位的接线。

VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。

简易频率计课程设计报告

简易频率计课程设计报告

简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。

技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。

本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。

在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。

通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。

同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。

二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。

2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。

3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。

- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。

4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。

5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。

教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。

在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。

数字频率计课程设计报告

数字频率计课程设计报告

一、课程设计题目数字频率计的设计与制作二、设计目的:本设计与制作项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。

三、设计要求:设计并制作出一种数字频率计,其技术指标如下:( 1 )频率测量范围: 10 ~ 9999Hz 。

( 2 )输入电压幅度 >300mV 。

( 3 )输入信号波形:任意周期信号。

( 4 )显示位数: 4 位。

( 5 )电源: 220V 、 50Hz四、所需仪器设备与器件示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。

五、设计内容、方法与步骤:1 .设计内容1 )数字频率计的基本原理数字频率计的主要功能是测量周期信号的频率。

频率是单位时间( 1S )内信号发生周期变化的次数。

如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。

数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。

这就是数字频率计的基本原理。

2 )系统框图从数字频率计的基本原理出发,根据设计要求,得到如图 13.4 所示的电路框图。

图 13.4 数字频率计框图下面介绍框图中各部分的功能及实现方法( 1 )电源与整流稳压电路框图中的电源采用 50Hz 的交流市电。

市电被降压、整流、稳压后为整个系统提供直流电源。

系统对电源的要求不高,可以采用串联式稳压电源电路来实现。

( 2 )全波整流与波形整形电路本频率计采用市电频率作为标准频率,以获得稳定的基准时间。

按国家标准,市电的频率漂移不能超过0.5Hz ,即在 1 %的范围内。

用它作普通频率计的基准信号完全能满足系统的要求。

全波整流电路首先对50Hz 交流市电进行全波整流,得到如图 13.5 ( a )所示 100Hz 的全波整流波形。

简易数字频率计课程设计报告

简易数字频率计课程设计报告

一、课题名称与技术要求<1>名称:简易数字频率计<2>主要技术指标和要求:1. 被测信号的频率X围100HZ~100KH2. 输入信号为正弦信号或方波信号3. 四位数码管显示所测频率,并用发光二极管表示单位4. 具有超量程报警功能二、摘要以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。

放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间内进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。

关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路三、方案论证与选择<1>频率测量原理与方法对周期信号的测量方法,常用的有下述几种方法。

1、测频法(M法)对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。

TG为标准闸门宽度,N1是计数器计出的脉冲个数,设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。

因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。

但是,增大TG会使频率测量的响应时间长。

当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。

简易数字频率计课程设计报告

简易数字频率计课程设计报告

简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。

而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。

本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。

二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。

2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。

3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。

4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。

5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。

三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。

评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。

四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。

2. 第二阶段(1周):设计数字频率计的主要电路。

3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。

4. 第四阶段(1周):优化和改进数字频率计的设计。

总共需要约5周的时间来完成整个课程设计。

五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。

2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。

3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。

简易数字频率计课程设计报告 .

简易数字频率计课程设计报告  .

目录第一章概述1.1 数字频率计功能及特点1.2 数字频率计应用意义第二章设计方案2.1 设计指标与要求2.2 设计原理2.3方案论证第三章数字频率计分析及参数设计3.1 电路基本原理3.2 时基电路设计3.3闸门电路设计3.4控制电路设计3.5 小数点显示电路设计3.6 整体电路图第四章设计总结4.1 整体电路图4.2 元器件列表4.3 设计心得与体会4.4 附录4.5 参考文献第一章、概述数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。

经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

因此数字频率计在测量其他物理量如转速、振动频率等方面获得广泛应用。

1.1 整体功能及特点1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

2,测量信号复制范围0.5-5v3,显示方式:四维十进制LED显示4,测量范围:1HZ-10HZ5,测量误差:≤±0.1%6,自动检测切换量程1.2 数字频率计应用意义数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。

一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。

数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个领域。

第二章设计方案2.1 设计指标与要求2.1.1 设计指标1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

数字频率计课程设计报告

数字频率计课程设计报告

THANKS
精度
精度是数字频率计的重要指标之一, 表示测量结果与真实值之间的接近程 度。提高精度的方法包括采用高精度 计数器、降低系统误差等。
分辨率
分辨率指数字频率计能够分辨的最小 频率间隔,与计数器的位数有关。
稳定性
稳定性指数字频率计在长时间使用过 程中保持其性能参数不变的能力。提 高稳定性的措施包括选用优质元器件 、优化电路设计等。
计数与显示
采用高速计数器对输入信号的脉冲进行计数,同 时将计数值实时显示在数码管或液晶屏幕上。
3
控制与处理
通过微处理器或单片机等控制核心,实现计数器 的启动、停止、清零等操作,并对计数值进行处 理,得到频率值。
关键技术参数
计数范围
数字频率计的计数范围决定了其能够 测量的频率范围,一般应满足实际需 求。
显示器
选用LED或LCD显示器,用于显示测量结果的频率值。
硬件电路图设计
电源电路
设计稳定的电源电路 ,为整个系统提供所 需的工作电压。
输入信号调理电路
根据实际需求设计输 入信号调理电路,包 括放大倍数、滤波截 止频率等参数的确定 。
微控制器电路
设计微控制器的最小 系统电路,包括晶振 、复位电路等。
02
数字频率计基本原理
频率定义及测量方法
频率定义
频率是单位时间内周期性信号重复的 次数,通常以赫兹(Hz)为单位表示 。
测量方法
频率的测量可以通过计数单位时间内 信号周期的个数来实现。常见的测量 方法包括直接计数法、测周法和等精 度测频法。
数字频率计工作原理
1 2
输入信号处理
数字频率计首先接收输入信号,经过放大、整形 等处理,将其转换为适合计数的脉冲信号。

简易数字频率计数器的课程设计报告

简易数字频率计数器的课程设计报告

总分=实物*50%+课程设计报告书*50%。

目录第一章引言 (1)1.1设计要求 (1)1.2系统概述 (2)第二章设计方案分析与论证 (3)2.1算法设计 (3)2.2整体方框图以及工作原理 (3)第三章单元电路设计 (5)3.1时基信号产生电路: (5)3.2放大整形电路: (6)3.3计数电路 (7)3.4译码显示电路 (8)3.5逻辑控制电路 (8)第四章简易数字频率计实物制作 (10)4.1频率准确度 (10)4.2频率测量范围以及各电路测试 (10)4.2.1石基电路测试: (10)4.2.2放大与整形电路测试: (10)4.2.3测试译码显示部分: (10)4.2.4计数模块测试: (10)4.2.5逻辑控制模块测试: (11)4.3整体测试 (11)4.4频率计数器的实物照片 (11)第五章测试结果分析与设计体会 (12)5.1测试结果 (12)5.2设计任务完成情况 (12)5.3问题及改进 (12)5.4设计体会 (13)参考文献 (14)附录一控制电路波形示意图 (15)附录二电路原理图 (16)附录三元器件清单 (17)附录四PCB布线参考图 (18)第一章引言电子工程师经常需要测量频率,时间间隔,相位和对事件计数,精确的测量离不开频率计数器或其他同类产品,如电子计数器和时间间隔分析仪。

频率计数器,是一种专门对被测信号频率进行测量并用数字显示的频率测量仪器。

它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。

其最基本的工作原理为:当被测信号在特定的时间段T内的周期个数为N时,则被测信号的频率f=N/T。

频率计数器主要由四个部份构成:时基(T)电路、输入电路、计数显示电路以及控制电路。

数字频率计设计实训报告

数字频率计设计实训报告

一、实训目的1. 熟悉数字频率计的原理和设计方法。

2. 学会使用数字电路设计工具进行电路设计。

3. 提高实际动手能力,培养创新思维。

4. 增强团队协作意识。

二、实训内容本次实训以设计一款简易数字频率计为目标,主要内容包括:1. 确定设计指标和功能要求。

2. 设计数字频率计的硬件电路。

3. 编写程序实现频率计的功能。

4. 进行电路调试和测试。

三、设计指标和功能要求1. 频率测量范围:1Hz~99.99kHz。

2. 波形测量:正弦波、方波、三角波等。

3. 数码显示:LCD1602液晶显示屏。

4. 量程选择:手动切换。

5. 误差:≤±1%。

四、硬件电路设计1. 信号输入电路:采用LM324运算放大器作为信号放大和整形电路,确保信号幅度在1Vpp以上。

2. 分频电路:采用74HC390计数器进行分频,将输入信号频率降低到计数器可计数的范围内。

3. 计数电路:采用74HC595移位寄存器实现计数功能,计数结果通过串口输出。

4. 显示电路:采用LCD1602液晶显示屏显示频率值。

5. 控制电路:采用AT89C52单片机作为主控制器,负责信号处理、计数、显示和量程切换等功能。

五、程序设计1. 初始化:设置计数器初值、波特率、LCD1602显示模式等。

2. 主循环:检测信号输入、计数、计算频率、显示结果。

3. 信号处理:对输入信号进行放大、整形、分频等处理。

4. 计数:根据分频后的信号频率,对计数器进行计数。

5. 计算频率:根据计数结果和分频系数计算实际频率。

6. 显示:将计算出的频率值通过串口发送到LCD1602显示屏。

7. 量程切换:根据手动切换的量程,调整分频系数。

六、电路调试与测试1. 调试信号输入电路,确保信号幅度在1Vpp以上。

2. 调试分频电路,确保分频后的信号频率在计数器可计数的范围内。

3. 调试计数电路,确保计数器能够正确计数。

4. 调试显示电路,确保LCD1602显示屏能够正确显示频率值。

简易频率计设计实验报告

简易频率计设计实验报告

(2) 、T1 25ms 定时中断服务程序 系 统 时 钟 为 24MHz , 机 器 周 期 为 0.5us , 最 大 定 时 时 间 为

65536 0.5us 32.768ms ,我们选用的定时时间为 25ms,定时中断 40 次即可实现 1s 定 时,T1 中断服务程序的流程图如下:
姓 学 专
名: 号: 业:
胡 嗣 维 021240*** 物 理 学 杨 老 师
指导老师:
2015 年 7 月 5 日
简易数字频率计的设计
实验目标:设计一个简易的数字频率计,能实时测量周期信号的频率,并将结果显示出 来,频率测量范围为 0~1MHz
总体方案
1.频率测量基本原理 数字频率计的主要功能是测量周期信号的频率。频率是单位时间内(1s)信号发生周期变 化的次数。如果能在给定的 1s 时间内对周期信号进行计数,并将计数结果显示出来,就实 现了对被测信号的频率测量。 2 实现方法 单片机 AT89S51 内部具有两个 16 位定时/计数器,如果用 T0 对外界周期信号进行计数, T1 作为定时器产生 1s 的定时中断, 在 T1 的定时中断服务程序中读取 T0 的计数值, 即可实 现频率的测量。由于测量的频率范围是 0~1000000Hz(1MHz) ,根据单片机对外部信号的计 数需要两个机器周期才能识别一个脉冲, 所以外部计数脉冲的频率应该小于振荡频率的 1/24. 所以选择 24MHz 才能实现频率测量范围为 0~1000000Hz(1MHz) 。如果系统的频率测量范 围更大,则可以在周期脉冲信号接入 T0 引脚前加分频电路即可。 3 系统硬件设计 系统硬件连接电路图如图所示。系统比较简单,数据处理量不大,因此选用 AT89S51 作为 控制系统的核心。其特点是低功耗、高性能的 CMOS 单片机。AT89S51 单片机采用静态时 钟方式,时钟频率为 0~33MHz。本次我们采用的是 24MHz 的工作频率。频率测量没有大量 的运算和暂存数据,现有的 128B 片内 RAM 已经满足要求,因此不必要外扩片外 RAM。系 统选用 LCD1602 显示频率,因为系统外扩的元件先对比较少,LCD1602 采用 I/O 并行接口 方式和单片机相连。图中

数字式频率计设计报告

数字式频率计设计报告

数字式频率计设计报告一、内容摘要在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。

本次课程设计的目的是根据已经学到的知识,按照这次课程设计的要求设计一个简易的数字式频率计,要求频率计范围内能测出所输入信号的频率。

测量频率的方法有多种,中电子计数器测量频率具有精度高、使用方便、测量迅速,其以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。

二、设计内容及要求:1、被测量信号:方波、正弦波、三角波,0~4V;2、测量频率范围: 1Hz~9999Hz;3、测量精度误差为1HZ;4、显示方式:用LED数码管显示4位十进制频率数值;5、时基电路由555构成的多谐振荡器产生三、设计原理及方案数字频率计就是直接用十进制的数字来显示被测信号频率。

可以测的方波的频率,通过放大正行处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。

所谓频率就是在单位时间(1s)内周期信号的脉冲个数。

若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N/T,据此,设计方案框图如图1所示:图1 数字频率计组成框图图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被。

,时间基准信号发生器提供标准的时间脉冲信号,若其周期为测信号的频率fX1s,则门控电路的输出信号也就是闸门信号持续时间也会等于1s。

闸门电路由闸门信号进行控制当闸门号到来时,闸门开通,被测脉冲信号通过闸门被送到计数器译码显示电路。

闸门信号结束时,闸门关闭,计数器得的脉冲数N是在1= N Hz。

秒时间内的累计数,所以被测频率fX1.设计原理由逻辑电路组成的频率计,大多是由中小规模的集成芯片按照逻辑原理组合而成,其结构复杂,组装、调试比较麻烦;但是我们所学的知识大部分是集成芯片,所以只用中小型规模的集成芯片组成的逻辑电路,有多个单元组成而成的简易数字频率计。

图2原理方框图测频法:又称为M法测量频率的原理框图如图2.测量频率共有4个档位。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录第一章技术指标1.1整体功能要求1.2系统结构要求1.3电气指标1.4扩展指标1.5设计条件第二章整体方案设计2.1 算法设计2.2 整体方框图及原理第三章单元电路设计3.1 时基电路设计3.2闸门电路设计3.3控制电路设计3.4 小数点显示电路设计3.5整体电路图3.6整机原件清单第四章测试与调整4.1 时基电路的调测4.2 显示电路的调测4-3 计数电路的调测4.4 控制电路的调测4.5 整体指标测试第五章设计小结5.1 设计任务完成情况5.2 问题及改进5.3心得体会附录参考文献第一章技术指标1.整体功能要求频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。

其扩展功能可以测量信号的周期和脉冲宽度。

2.系统结构要求数字频率计的整体结构要求如图所示。

图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。

数字频率计整体方案结构方框图3.电气指标3.1被测信号波形:正弦波、三角波和矩形波。

3.2 测量频率范围:分三档:1Hz~999Hz0.01kHz~9.99kHz0.1kHz~99.9kHz3.3 测量周期范围:1ms~1s。

3.4 测量脉宽范围:1ms~1s。

3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。

3.6当被测信号的频率超出测量范围时,报警.4.扩展指标要求测量频率值时,1Hz~99.9kHz的精度均为+1。

5.设计条件5.1 电源条件:+5V。

5.2 可供选择的元器件范围如下表门电路、阻容件、发光二极管和转换开关等原件自定。

第二章 整体方案设计2.1 算法设计频率是周期信号每秒钟内所含的周期数值。

可根据这一定义采用如图2-1所示的算法。

图2-2是根据算法构建的方框图。

被测信号图2-2 频率测量算法对应的方框图在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。

改闸门信号控制闸门电路的导通与开断。

让被测信号送入闸门电路,当1s 闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s 闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s 内被测信号的周期个数,即为被测信号的频率。

测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s 内被测信号的周期量误差在10 ³量级,则要求闸门信号的精度为10 ⁴量级。

例如,当被测信号为1kHz 时,在1s 的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ⁴,闸门信号的误差不大于0.1s ,固由此造成的计数误差不会超过1,符合5*10 ³的误差要求。

进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 ³范围内。

但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍未1s显然是不行的,故应加宽闸门脉冲宽度。

假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.2.2 整体方框图及原理输入电路:由于输入的信号可以是正弦波,三角波。

而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。

在整形之前由于不清楚被测信号的强弱的情况。

所以在通过整形之前通过放大衰减处理。

当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。

当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。

频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。

被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。

时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。

被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。

方波信号中的脉冲宽度恰好为被测信号的1个周期。

将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。

计数器累计的结果可以换算出被测信号的周期。

用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态时间分别为T1=0.7(Ra+Rb)C T2=0.7RbC重复周期为 T=T1+T2 。

由于被测信号范围为1Hz~1MHz,如果只采用一种闸门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档: 1Hz~999Hz档采用1s闸门脉宽;0.01kHz~9.99kHz档采用0.1s闸门脉宽;0.1kHz~99.9kHz档采用0.01s闸门脉宽。

多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、0.1ms、0.01ms。

闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。

在实验中我们采用的就是前一种方案。

在电路中引进电位器来调节振荡器产生的频率。

使得能够产生1kHz 的信号。

这对后面的测量精度起到决定性的作用。

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。

在计数的时候数码管不显示数字。

当计数完成后,此时要使数码管显示计数完成后的数字。

控制电路:控制电路里面要产生计数清零信号和锁存控制信号。

控制电路工作波形的示意图如图2-5.第三章单元电路设计3.1 时基电路设计图3-1 时基电路与分频电路它由两部分组成:如图3-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。

在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。

第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。

4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。

计数器在脉动模式可级联,通过将Q³连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

如图3-2所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。

图3-2 1kHz的方波分频后波形图3.2闸门电路设计如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。

74151的CBA接拨盘开关来对选频进行控制。

当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。

分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。

4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。

100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。

也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。

图3-3 闸门电路图3-43.3控制电路设计通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。

其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。

比如频率,周期,脉宽。

同时控制电路还要产生74160的清零信号,4511的锁存信号。

控制电路。

计数电路和译码显示电路详细的电路如图3-5所示。

当74153的CBA 接001、010、011的时候电路实现的是测量被测信号频率的功能。

当74153的CBA接100的时候实现的是测量被测信号周期的功能。

当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。

图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。

其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。

第四个是锁存信号。

PT是高电平的时候计数器开始工作。

CLR为低电平的时候,计数器清零。

根据图得知在计数之前对计数器进行了清零。

根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。

如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。

由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。

那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。

根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。

图3-6 计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图图3-6,是测量被测信号频率是1.1KHz的频率的图。

由于multsisim软件篇幅的关系。

时基电路产生的信号直接用信号发生器来代替。

图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。

那么这个电路实现测量频率的范围是0.01KHz~9.99KHz的信号的频率。

同时控制电路也实现了对被测信号的周期和脉宽的测量。

当CBA的取一定的值,电路实现一定的测量功能。

3.4 小数点显示电路设计在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。

相关文档
最新文档