推荐-EDA技术实用教程EDA课程设计报告 精品
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA课程设计报告
课程:EDA技术实用教程
学院:电子与信息工程学院
目录
实验一、3-8译码器的仿真
一:实验名称:3-8译码器仿真
二:实验要求:熟悉对max+plusⅡ10.0的使用,并且能简单的使用进行3-8译码器的仿真和论证。
三:实验步骤:
1:使用max+plusⅡ10.0软件,设计3-8译码器的实验原理图如下所示:
图1 实验原理图
2:波形的仿真与分析
启动max+plusⅡ10.0\Waveform editor菜单,进入波形编辑窗口,选择欲仿真的所有I\O管脚。如下图所示:
图2 波形编辑
为输入端口添加激励波形,使用时钟信号。选择初始电平为“0”,时钟周期倍数为“1”。添加完后,波形图如下所示:
图3 添加激励后的波形
打开max+plusⅡ10.0\Simulator菜单,确定仿真时间,单击Start开始仿真,如下图所示:
图4 仿真过程
图5 仿真结果
四:实验结论:使用max+plusⅡ10.0能很好的完成很多电路的仿真与工作。
实验二、2选一多路选择器
一、原理图设计输入法
图一 2选1多路选择器结构体
图二电路编译结果
图三波形仿真
由波形图可知:
当a、b两个输入口分别输入不同频率信号时,针对选通控制端s上所加的不同电平,输出端y将有对应不同信号输出。例如当s为低电平时,y口输出了来自a端的较高频率的时钟信号;反之,即当s为高电平时,y口输出了来自b 端的较低频率的时钟信号。
二、文本设计输入(VHDL)法
图四2选1多路选择器(VHDL)
图五2选1多路选择器(VHDL)波形图
图六2选1多路选择器(VHDL)引脚分布图
实验三、十进制计数器
一、VHDL程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_UNSIGNED.all;
entity T10 is
port (CLK,RST,EN,LOAD: IN STD_LOGIC;
DATA: IN STD_LOGIC_VECTOR(3 DOwNTO 0);
DOUT: out std_logic_vector(3 DOWNTO 0);
COUT: OUT STD_LOGIC);
ENd entity T10;
ARCHITECTURE behav of T10 IS
BEGIN
PROCESS (CLK,RST,EN,LOAD)
variable Q: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN
IF RST='0' THEN Q:= (OTHERS=>'0');
ELSIF CLK 'EVENT AND CLK ='1' THEN
IF EN='1' THEN
IF (LOAD ='0') THEN Q:=DATA; ELSE
IF Q<9 THEN Q:=Q+1;
ELSE Q:=(OTHERS=>'0');
END IF;
END IF;
END IF;
END IF;
IF Q="1001" THEN COUT<='1';
else COUT<='0'; END IF;
DOUT <=Q;
END PROCESS;
END behav;
它是一个带有异步复位和同步加载功能的十进制加法计数器。
二、编译报告
pilation Report _flow sumamy
Simulation Repoet_simutlaion waveform
t10.vwf
由图可知,(1)当计数使能EN为高电平时允许计数;RST低电平时计数器被清零。(2)由于LOAD是同步加载控制信号,其第一个负脉冲恰好在CLK的上升沿处,故将5加载于计数到9,出现了第一个进位脉冲。由于LOAD第二个负脉冲未在CLK上升沿处,故没有发生加载操作,而第3、4个负脉冲都出现了加载操作;(3)当计数器每次计到9时,输出为高电平,而且计数器又从0开始重新计数
三、RTL图
四、symbol t10.bdf
实验四、四选一多路选择器
一、用IF_THEN语句实现4选1多路选择器
图一用IF_THEN语句实现4选1多路选择器文本设计输入
图二程序运行编译结果
图三四选一多路选择器的电路仿真波形图
由上图可知:
当sel=11时,y=intput3;当sel=10时,y=intput2;当sel=01时,y=intput1;当sel=00时,y=intput0;实现了四选一功能。
图四4选1多路选择器RTL电路图
图五4选1多路选择器Symbol
二、用CASE语句实现4选1多路选择器
图六用CASE语句实现4选1多路选择器文本设计输入
图七程序运行编译结果
图八四选一多路选择器的电路仿真波形图
由上图可知(s<=s1&s2):
当s=00时,z=a;当s=01时,z=b;当s=10时,z=c;当s=11时,z=d;实现了四选一功能。
图九4选1多路选择器RTL电路图
图十4选1多路选择器Symbol
三、用WHEN_ELSE语句实现4选1多路选择器
图十一用WHEN_ELSE语句实现4选1多路选择器文本设计输入