收音机闹钟设计报告

合集下载

定时叫醒器设计报告

定时叫醒器设计报告

基础工程设计报告一、引言1、本课题属于大学生应掌握的自主研究课题。

属模电,数电基本知识范围,对大学生进一步掌握相关知识有重要作用;2、设计的具体任务:采用压电蜂鸣器发出定时叫醒声响,具有自动清零及调节叫醒时间功能。

3、实验方法和设计;:1)计时器为递减计时,时间间隔为1秒。

递减到零时蜂鸣器报警、发光二极管亮灯;2)具有99以内任意选定进制的定时功能,设置有外部操作开关,控制计时器的显示预置数,直接清零,暂停/继续功能。

二、正文1、系统组成(系统框图):定时叫醒器的总体参考方案框图如下图所示。

它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。

其中计数器和控制电路是系统的主要模块。

计数器完成任意定时计时功能,而控制电路完成计数器的显示预置数、直接清零、暂停/继续计数,译码显示电路的显示定时时间到报警等功能。

定时叫醒器设计总体框图秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。

译码显示电路由74LS48和共阴极七段LED显示器组成。

报警电路在实验中可用发光二极管和鸣蜂器代替。

主体电路:两计数芯片的置数端和清零端分别共用一个开关,开始后,定时叫醒器秒的置数端无效,倒数计时器开始进行倒计时,逐秒倒计到零。

选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。

2、系统方案论证:分析可知,计数器和控制电路是系统的主要部分。

计数器完成计时功能,而控制电路完成计数器的显示预置数、直接清零、暂停/继续计数、译码显示电路的显示、定时时间到报警等功能。

为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。

在操作直接清零开关时,要求计数器清零。

当显示预置数开关闭合、直接清零开关断开时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码器显示电路显示预设值;当显示预置数开关断开时,计数器开始计数;当暂停/继续开关拨在暂停位置上时,计时器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。

毕业设计(论文)-基于单片机的多功能钟控收音机的设计与实现--闹钟子系统的设计与实现[管理资料]

毕业设计(论文)-基于单片机的多功能钟控收音机的设计与实现--闹钟子系统的设计与实现[管理资料]

基于单片机的多功能钟控收音机的设计与实现——闹钟子系统的设计与实现摘要收音机是现在生活中的一种娱乐工具,它可以扩展我们的知识面,丰富我们是日常生活。

但是现在的收音机仅仅只拥有收台、听台、存台的功能,功能上非常的单一,为了让收音机具有更强大的的功能,设计了这套基于单片机的多功能钟控收音机系统。

这套系统在传统的收音机上增加了时钟设置、温度测量、液晶显示以及闹钟设置多项功能。

本文主要论述了系统的方案设计,系统硬件设计包括硬件选型和硬件电路图;系统软件设计包括程序流程图设计和关键代码。

通过编写代码实现收音机节目的播放、音量调节、电台切换及节目的自动搜索、节目频点存储功能、时钟设置、温度测量、液晶显示以及闹钟功能。

且能够通过按键调整系统时钟,到达设定闹铃时间值可选择蜂鸣器响或开启收音机到指定频点。

该系统与传统的收音机系统相比较,具有结构简单,抗干扰能力强,测量精度高,使用方便的特点。

关键字:单片机;收音机;闹钟;液晶显示Based on SCM multi-function clock radio control design and realized ——Alarm subsystem of design and implementationAuthor:Li XinfangTutor:Yang BoAbstractThe radio is now in the life of the one kind of entertainment tool, it can expand our knowledge, enrich our daily life is. But now the radio only accept ,listen , save a function, the function is a single, in order to let the radio has more powerful function, the set design based on single chip microcomputer multifunctional clock radio control system. The system in the traditional radio increased the clock set, temperature measurement, liquid crystal display and alarm multiple functions. This paper discusses the design of the whole system, hardware design including hardware selection and hardware circuit diagram; System software design including program flowchart design and key code. By writing code realization of radio programs broadcast, volume adjustment, radio switch and programs to be automatic search, the program frequency memory function, clock set, temperature measurement, liquid crystal display and alarm clock function. And to be able to button to adjust the system clock, to set the alarm time value can choose a buzzer rang or open radio frequency to the specified. This system and the traditional radio system comparison, the structure is simple, strong anti-jamming ability, high accuracy, easy to use features.Key words: Single chip microcomputer; the radio; the alarm clock; liquid crystal display目录1 绪论 0 0研究的目的及意义 0本文结构 (1)2 系统方案设计 (2) (2) (2)收音机模块 (3)时钟模块 (3)温度模块 (3)显示模块 (3)闹钟模块 (3)按键模块 (4)3 系统硬件设计 (5) (5) (5)AT89S52单片机的引脚结构分析 (6)单片机最小系统设计图 (7)显示模块硬件电路设计 (7) (7)显示模块电路设计 (8)按键模块硬件电路设计 (8)时钟模块的硬件电路设计 (9) (9) (9) (10)存储模块硬件电路设计 (11) (11) (11)AT24C02电路设计 (12)打铃模块电路设计 (12)4 系统软件设计 (14)系统软件总体设计 (14)主控模块详细设计 (14)显示模块详细设计 (16)按键模块详细设计 (17)时钟模块详细设计 (18) (18)存储模块详细设计 (20) (21) (23)5系统的调试与实现 (25)C介绍 (25)6 结束语 (27)参考文献 (28)致谢 (29)1 绪论收音机一直在人们的生活娱乐中占有非常重要的地位。

课程设计_数字电子钟设计报告 -终

课程设计_数字电子钟设计报告 -终

数字闹钟设计报告目录1. 设计任务与要求 (2)2. 设计报告内容2.1实验名称 (2)2.2实验仪器及主要器件 (2)2.3实验基本原理 (3)2.4数字闹钟单元电路设计、参数计算和器件选择…………………………3-72.5数字闹钟电路图 (8)2.6数字闹钟的调试方法与过程 (8)2.7设计与调试过程的问题解决方案 (8)3.实验心得体会……………………………………………………………………9、101. 设计任务与要求数字闹钟的具体设计任务及要求如下:(1) 有“时”、“分”十进制显示, “秒”使用发光二极管闪烁表示。

(2) 以24小时为一个计时周期。

(3) 走时过程中能按预设的定时时间(精确到小时)启动闹钟, 以发光二极管闪烁表示, 启闹时间为3s~10s。

2. 设计报告内容2.1实验名称数字闹钟2.2实验仪器及主要器件(1)CD4511( 4片)、数码管(4片)(2)74LS00(6片)(3)74LS138(2片)(4)74LS163(6片)(5)LM555(1片)(6)电阻、电容、导线等(若干)(7)面包板(2片)、示波器等2.3数字闹钟基本原理要想构成数字闹钟, 首先应选择一个标准时间源——即秒信号发生器。

可以采用LM555构成多谐振荡器, 通过改变电阻来实现频率的变化, 使之产生1HZ的信号。

计时的规律是: 60秒=1分, 60分=1小时, 24小时=1天, 就需要对计数器分别设计为60进制和24进制的, 并发出驱动信号。

各计数器输出信号经译码器到数字显示器, 按“时”、“分”顺序将数字显示出来, 秒信号可以通过数码管边角的点来显示。

数字闹钟要求有定时响闹的功能, 故需要提供设定闹时电路和对比起闹电路。

设时电路应共享译码器到数字显示器, 以便使用者设定时间, 并可减少电路的芯片数量;而对比起闹电路提供声源, 应具有人工止闹功能, 止闹后不再重新操作, 将不再发生起闹等功能。

数字电子钟的逻辑框图如图所示。

多功能数字闹钟电路设计实验报告

多功能数字闹钟电路设计实验报告

多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。

实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。

数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。

实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。

实验步骤:
1. 按照电路图连接电路。

将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。

2. 上电并调节电路供电电压。

3. 设定时间。

通过拨动开关和按钮进行时间的设定。

4. 切换闹钟状态。

通过开关切换闹钟的开启和关闭状态。

5. 监测闹钟时间。

借助示波器调整闹钟时间的精度。

6. 监测闹钟声音。

确认蜂鸣器发出的声音符合要求。

实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。

通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。

实验中监测到的闹钟时间和声音都符合预期要求。

结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。

实验结果显示该电路的性能良好,具有实用价值。

在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。

课程设计报告收音机报告

课程设计报告收音机报告

1收音机课程设计报告一、课程设计目的:1.培养学生动手能力和思维能力。

2.丰富自身知识,增加学生专业知识的了解。

3.训练学生用实验方法分析。

研究电子学问题。

4.培养学生养成工作品德和严肃的实验态度。

5.引导和启发学生将模拟电路、数学逻辑电路与科学研究和实践相结合,为今后的学习、工作打下良好的根底。

二、收音机的开展:播送方式从调幅(AM)播送时代开场,经历了调频(FM)播送、调频立体声(FMSTEREO)播送、数字音频播送(DAB)等阶段。

目前,科学家正研究短波段的数字播送(DRM)。

民用播送所使用的频率,经历了长波(LW)、中波(MW)、短波(SW)、超短波调频(FM)、卫星调频播送等阶段;播送的传播距离和覆盖范围也从近距离到利用人造地球卫星进展全球转播等;收音机从矿石收音机、电子管收音机、晶体管收音机、集成电路收音机,到使用微电脑处理器的数字调谐收音机;收音机的根本电路形式、也从直接放大式,到超外差式、屡次变频式电路。

收音机的体积也从笨重变小到微型,而音质却越来越好......20-60年代电子管电路/直放式,外差式长波/中波/短波50-70年代晶体管电路/外差式,屡次变频中波/短波/调频70-80年代集成电路/外差式,屡次变频,数字调谐中波/短波/调频90年代集成电路/外差式,屡次变频,数字调谐中波/短波/调频/数字播送三、超外差式收音机特点及工作原理:最初的收音机属于直放式收音机,它的特点是:从天线上接收到的高频信号,在检波以前,一直不改变它原来的高频频率〔即高频信号直接放大〕。

它的缺点是:在接收频段的高端和低段的放大不一样整个波段的灵敏度不均匀。

如果是多波段收音机,这个矛盾更突出。

其次,如果要提高灵敏度,必须增加高频放大的级数,由此带来各级之间的统一调谐的困难,而且高频放大器增益做不高,容易产生自激。

如果能够把收音机接收到的高频信号,都变换成固定的中频信号进展放大检波。

由于中频频率比变换前的信号频率低,而且频率固定不变,所以任何电台的信号都能得到相等的放大量,同时总的放大量也可以较高。

2024年有关制作收音机设计的实习报告

2024年有关制作收音机设计的实习报告

2024年有关制作收音机设计的实习报告实习报告:2024年制作收音机设计摘要:本实习报告主要介绍了2024年制作收音机设计的实习经历。

收音机是一种常见的电子设备,能够接收收音机台和广播电台的信号并将其转换成声音。

本次实习旨在通过学习电子电路设计、PCB设计以及部件采购等环节,完成一台功能完善且性能优良的收音机设计。

经过几个月的实习,在导师和同事的指导下,我成功完成了收音机设计,并对其进行了调试和优化。

最终的成果得到了肯定,具备了商业化应用的潜力。

1.引言收音机作为一种老牌音频设备,经过多次技术革新和市场更新,仍然保持了其重要性。

在2024年,收音机已经成为人们日常生活中不可或缺的伴侣之一。

因此,本次实习通过制作一台具有创新性和实用性的收音机,帮助我更加深入了解收音机设计的原理和技术。

2.实习目标本次实习的主要目标是设计一台功能完善、性能优良的收音机。

在实现这一目标的过程中,我需要掌握以下技能:1) 学习并理解收音机的基本原理和电路结构;2) 学习使用电子电路设计软件进行电路设计;3) 学习使用PCB设计软件进行PCB布局和线路路径设计;4) 学习选择合适的电子元件,并进行采购;5) 进行电路的组装、调试和优化。

3.实习过程在实习开始之前,我首先对收音机的基本原理进行了学习和研究。

我了解了收音机的信号接收原理、调频调幅解调原理以及音频放大原理等方面的知识,为后续的设计和调试奠定了基础。

接下来,我开始使用电子电路设计软件进行收音机电路的设计。

我根据收音机的基本原理和功能要求,选择了合适的电子元件,并绘制了相应的电路图。

在设计的过程中,我根据导师的指导,结合自己的创意,对电路进行了优化,以提高收音机的性能和稳定性。

完成电路设计后,我开始使用PCB设计软件进行PCB布局和线路路径设计。

在设计的过程中,我要考虑电路的稳定性、电磁兼容、尺寸限制等因素。

通过反复的修改和优化,最终我完成了一张满足设计要求的PCB设计图。

闹钟设计实验报告

闹钟设计实验报告

闹钟设计实验报告院系: 计算机与通信学院专业: 计算机科学与技术班级: 01154 班姓名: 伍晨曦(13号)指导老师: 杨华一.实验目的:1.学会VHDL语言的并发执行的特点;2.熟悉VHDL的一些语法;3.初步了解VHDL的编程思路;二.内容实验:一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调.三.实验原理根据VHDL语言编制底层模块,采用基本的图像法来完成顶层的布线,利用VHDL语言编制模块可以省去很多复杂的连线及列写复杂的逻辑函数关系。

其中的时间模块用计数器来模拟.一个24位计数器来模拟小时,两个60位计数器来模拟分钟和秒.其中闹铃里要加一个寄存器来存贮闹铃的设定.显示模块用数码管来显示.按照本课程设计要求及提供的数字逻辑系统EDA实验设备,思路如下:设计好小时、分钟、秒钟、按键、寄存器、扫描、闹铃、七段码、二选一、顶层电路的设计。

这些模块采用VHDL语言设计,然后生成模块存放在库中供以后调用。

采用图形法来设计顶层模块并编译、仿真并下载,生成大模块已完成课程要求。

四:源程序的实现1.小时的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk :in std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end hour;architecture structure of hour issignal h2_temp :std_logic_vector(3 downto 0);signal h1_temp :std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0010" and h1_temp="0011")thenh2_temp<="0000";h1_temp<="0000";elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图2.分钟的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end minute;architecture structure of minute issignal h2_temp :std_logic_vector(3 downto 0); signal h1_temp :std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0101" and h1_temp="1001")thenh2_temp<="0000";h1_temp<="0000";cn<='1';elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;cn<='0';end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图3.秒钟的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second isport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end second;architecture structure of second issignal h2_temp :std_logic_vector(3 downto 0);signal h1_temp :std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0101" and h1_temp="1001")thenh2_temp<="0000";h1_temp<="0000";cn<='1';elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;cn<='0';end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图和分钟的一样.4.按键设计的模块:library ieee;use ieee.std_logic_1164.all;entity set isport(clk :in std_logic;hour,minute,second,bar:in std_logic;c1,c2 :in std_logic;sett :in std_logic;setring,setb :out std_logic;seth1,setm1,sets1 :out std_logic;seth,setm,sets :out std_logic);end set;architecture structure of set isbeginprocessbeginif(sett='0')thenseth1<=c2;setm1<=c1;sets1<=clk;seth<=bar and clk and hour;setm<=bar and clk and minute;sets<=bar and clk and second;setring<=bar and clk;setb<=bar;elsesets1<=second and clk;seth1<=hour and clk;setm1<=minute and clk;end if;end process;end structure;5.寄存器模块:library ieee;use ieee.std_logic_1164.all;entity regist isport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set:in std_logic;outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0) --output :out std_logic);end regist;architecture structure of regist isbeginprocess(set)beginif(set'event and set='1')thenouth1<=hour1;outh2<=hour2;outm1<=minute1;outm2<=minute2;outs1<=second1;outs2<=second2;end if;end process;end structure;波形图:6.闹铃模块:library ieee;use ieee.std_logic_1164.all;entity ring isport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);output :out std_logic;oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0));end ring;architecture structure of ring isbeginprocessbeginoh1<=hour1;oh2<=hour2;om1<=minute1;om2<=minute2;os1<=second1;os2<=second2;if(set='1')thenoutput<='0';elsif(hour1=h1 and hour2=h2 and minute1=m1 and minute2=m2)thenoutput<='1';elseoutput<='0';end if;end process;end structure;波形图:7.扫描电路:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity m1 isport(clk :in std_logic;hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);q :out std_logic_vector(5 downto 0);output :out std_logic_vector(3 downto 0));end m1;architecture structure of m1 istype state is(s0,s1,s2,s3,s4,s5);signal presentstate :state;signal nextstate :state;beginswitchtonextstate :process(clk)beginif clk'event and clk='1' thenpresentstate<=nextstate;end if;end process switchtonextstate;changestate :process(presentstate)begincase presentstate iswhen s0=>nextstate<=s1;q<="000001";output<=hour2;when s1=>nextstate<=s2;q<="000010";output<=hour1;when s2=>nextstate<=s3;q<="000100";output<=minute2;when s3=>nextstate<=s4;q<="001000";output<=minute1;when s4=>nextstate<=s5;q<="010000";output<=second2;when s5=>nextstate<=s0;q<="100000";output<=second1;when others=>nextstate<=s0;q<="100000";output<=second1;end case;end process;end structure;波形图:8.二选一电路:library ieee;use ieee.std_logic_1164.all;entity twotoone isport(a,b :in std_logic_vector(3 downto 0);e,f :in std_logic_vector(5 downto 0);set :in std_logic;m :out std_logic_vector(5 downto 0);c :out std_logic_vector(3 downto 0));end twotoone;architecture structure of twotoone isbeginprocessbeginif(set='1')thenc<=a;m<=e;elsec<=b;m<=f;end if;end process;end structure;波形图:9.七段码:library ieee;use ieee.std_logic_1164.all;entity seg_7 isport(input :in std_logic_vector(3 downto 0);segment :out std_logic_vector(6 downto 0));end seg_7;architecture structure of seg_7 isbeginprocess(input)begincase input iswhen "0000" => segment <= "0111111";when "0001" => segment <= "0000110";when "0010" => segment <= "1011011";when "0011" => segment <= "1001111";when "0100" => segment <= "1100110";when "0101" => segment <= "1101101";when "0110" => segment <= "1111101";when "0111" => segment <= "0100111";when "1000" => segment <= "1111111";when "1001" => segment <= "1101111";when others => segment <= "0000000";end case;end process;end structure;10.顶层模块:library ieee;use ieee.std_logic_1164.all;entity clock isport(clk :in std_logic;hour1,minute1,second1 :in std_logic;bar,cp,sett :in std_logic;ring1 :out std_logic;e :out std_logic_vector(5 downto 0);segment :out std_logic_vector(6 downto 0));end clock;architecture structure of clock iscomponent setport(clk :in std_logic;hour,minute,second,bar:in std_logic;c1,c2 :in std_logic;sett :in std_logic;setring,setb :out std_logic;seth1,setm1,sets1 :out std_logic;seth,setm,sets :out std_logic);end component;component hourport(clk :in std_logic;ho2 :out std_logic_vector(3 downto 0);ho1 :out std_logic_vector(3 downto 0));end component;component minuteport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end component;component secondport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end component;component registport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0));end component;component ringport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);output :out std_logic;oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0));end component;component m1port(clk :in std_logic;hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);q :out std_logic_vector(5 downto 0);output :out std_logic_vector(3 downto 0));end component;component twotooneport(a,b :in std_logic_vector(3 downto 0);e,f :in std_logic_vector(5 downto 0);set :in std_logic;m :out std_logic_vector(5 downto 0);c :out std_logic_vector(3 downto 0));end component;component seg_7port(input :in std_logic_vector(3 downto 0);segment :out std_logic_vector(6 downto 0));end component;signal w1,w2,cn2,cn1,cn0 :std_logic;signal h2,h1,a2,a1,s2,s1 :std_logic_vector(3 downto 0);signal h20,h10,m20,m10,s20,s10 :std_logic_vector(3 downto 0);signal h21,h11,m21,m11,s21,s11 :std_logic_vector(3 downto 0);signal h22,h12,m22,m12,s22,s12 :std_logic_vector(3 downto 0);signal q0,q1 :std_logic_vector(5 downto 0);signal p0,p1 :std_logic_vector(3 downto 0);signal c :std_logic_vector(3 downto 0);signal c1,c2 :std_logic;signal sh,sm,ss :std_logic;signal cnm,cns :std_logic;beginu0: set port map(clk,hour1,minute1,second1,bar,c1,c2,sett,w1,w2,cn2,cn1,cn0,sh,sm,ss);u1: hour port map(sh,h20,h10);u2: hour port map(cn2,h2,h1);u3: minute port map(cn1,c2,a2,a1);u4: minute port map(sm,cnm,m20,m10);u5: second port map(cn0,c1,s2,s1);u6: second port map(ss,cns,s20,s10);u7: regist port map(h10,h20,m10,m20,s10,s20,w1,h11,h21,m11,m21,s11,s21);u8: ring port map(h11,h21,m11,m21,s11,s21,w2,h1,h2,a1,a2,s1,s2,ring1,h12,h22,m12,m22,s12,s22);u9: m1 port map(cp,h12,h22,m12,m22,s12,s22,q0,p0);u10: m1 port map(cp,h1,h2,a1,a2,s1,s2,q1,p1);u11: twotoone port map(p0,p1,q0,q1,w2,e,c);u12: seg_7 port map(c,segment);end structure;顶层电路图:五.心得体会:1.进一步熟悉了VHDL的编程思想.2.做实验细心,发挥想象,充分运用所学知识。

虚拟仪器课程设计报告(闹钟)

虚拟仪器课程设计报告(闹钟)

时间:2012/12/31签名:一、课程设计背景1.前言20多年前,美国国家仪器公司NI (National Instruments)提出“软件即是仪器”的虚拟仪器(VI)概念,引发了传统仪器领域的一场重大革命,使得计算机和网络技术得以长驱直入仪器领域,和仪器技术结合起来,从而开创了“软件即是仪器”的先河。

LabVIEW是NI推出的虚拟仪器开发平台软件,它们能够以其直观简便的编程方式、众多的源码级的设备驱动程序、多种多样的分析和表达功能支持,为用户快捷的构筑自己的实际生产中所需要的仪器系统创造了基础条件。

虚拟仪器由计算机、模块化功能硬件和应用软件三大部分组成,一般而言,虚拟仪器所用的计算机是通用的计算机,虚拟仪器根据其模块化功能硬件不同,而有多种构成方式。

其中NI—DAQ测试系统是构成虚拟仪器VI得最常用的最基本的方式,因为一般而言,这种类型的虚拟仪器成本比较低,它能充分利用计算机的设计能力,并通过软件实现对数据的显示、存储以及分析处理。

图1 虚拟仪器系统框架图2.工具软件简介令的先后顺序决定程序执行顺序,而LabVIEW 则采用数据流编程方式,程序框图中节点之间的数据流向决定了VI及函数的执行顺序。

VI指虚拟仪器,是LabVIEW 的程序模块。

LabVIEW是图形化编程语言,也是第三代的编程语言,LabVIEW是一种程序开发环境,由美国国家仪器(NI)公司研制开发的,类似于C和BASIC开发环境,但是LabVIEW与其他计算机语言的显著区别是:其他计算机语言都是采用基于文本的语言产生代码,而LabVIEW使用的是图形化编辑语言G编写程序,产生的程序是框图的形式。

LabVIEW提供很多外观与传统仪器(如示波器、万用表)类似的控件,可用来方便地创建用户界面。

用户界面在LabVIEW中被称为前面板。

使用图标和连线,可以通过编程对前面板上的对象进行控制。

这就是图形化源代码,又称G 代码。

LabVIEW的图形化源代码在某种程度上类似于流程图,因此又被称作程序框图代码。

电子实习与设计实习报告-收音机和数字钟

电子实习与设计实习报告-收音机和数字钟

电子实习与设计报告学校:南京师范大学学院:能源与机械工程学院班级:机械1006班姓名:赵怀云20100623《电子实习与设计》是一门重要的实践课程,也是一门自我们进入大学以来动手能力要求最高的实习。

本课程主要包括两部分:组装收音机和设计数字钟。

通过此次为期两周的实习,我们加深对课堂知识的理解,初步了解和掌握一般电子产品安装、焊接工艺的基本知识和操作方法;掌握部分专用及常用电子仪器、仪表的使用方法,初步掌握一般电子产品的设计、安装、调试与检测方法,培养动手能力、创新能力以及严谨、科学的工作作风,让我们在实践中学习新知识、新技能、新方法,为毕业设计及今后工作奠定良好基础。

实习与设计的目的与要求:⑴组装收音机部分:1.熟悉电阻、电容、电感线圈、中周、变压器、二极管、三极管、电位器、耳机插座、喇叭等电子元件。

2.在散件的组装过程中进一步学习电子技术。

3.掌握电子安装工艺了解测量和调试技术。

4. 熟练焊接的基本技巧。

5. 熟悉超外差式收音机的工作原理。

6. 掌握收音机的调试方法,能安装、调试出成品收音机。

⑵数字钟设计部分:1.采用中规模集成电路设计一台可以显示时、分、秒的数字钟。

其中时为24进制,分、秒为60进制。

2. 当电路发生走时误差时,要求电路具有校时功能。

3.要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。

实习与设计内容第一部分:组装收音机⑴收音机元器件的检测与安装在制作收音机之前,我们先对一个简单的模拟放大电路的元器件认识检测并进行焊接,对部分电子元器件、焊接工艺有了初步了解后,我们再对照收音机元件清单目录表检查元件是否齐全,检测电子元器件是否有损坏,并认识识别各种元器件以及它们的作用。

在此次的实习中,我们学会了如何使用万用表判别三极管的极性、检查各级晶体管的型号、有色环电阻其阻值的读法,检查电解电容的引线正、负接法、判断分段绕制的磁性天线线圈的初次级安装引线等。

通过实际的操作,我们深刻体会到在焊接之前应检查电容、中周、电路板等元器件是否完好的必要性。

收音机设计实习报告2篇

收音机设计实习报告2篇

收音机设计实习报告收音机设计实习报告精选2篇(一)实习报告实习单位:XXX有限公司实习时间:2021年X月X日至2021年X月X日实习岗位:收音机设计实习生一、实习概述在本次实习中,我被分配到公司的收音机设计团队,负责协助进行收音机的硬件设计和测试工作。

通过与团队成员的合作和指导,我深入了解了收音机的原理和设计流程,熟悉了相关的硬件设备和测试工具的使用,掌握了一定的产品设计和测试技能。

二、实习内容1. 收音机硬件设计在实习期间,我参与了公司新款收音机的硬件设计工作。

根据产品需求和规格要求,我协助完成了电路原理图的设计,选型了适合的电子元器件,并进行了布局和布线设计。

在设计过程中,我学习了电路设计的基本原理和方法,了解了各个功能模块的设计要点和注意事项。

2. 收音机测试与调试在收音机硬件设计完成后,我还参与了产品的测试和调试工作。

通过使用示波器、信号发生器等测试仪器,我对收音机的各个功能模块进行了测试和验证,发现并修复了一些硬件故障和问题。

通过这一过程,我深刻体会到了测试和调试在产品开发过程中的重要性,也锻炼了我的问题分析和解决能力。

3. 团队协作与沟通在实习期间,我与团队成员紧密合作,共同完成了项目的设计和测试工作。

在团队的指导下,我了解了团队协作的重要性,学习并掌握了与团队成员有效沟通和协调的技巧。

通过与团队成员的交流和合作,我不仅从他们身上学到了很多专业知识和经验,还培养了我的团队意识和合作精神。

三、实习收获通过这次实习,我对收音机的设计和测试有了更深入的了解,掌握了相关的硬件设计和测试技能。

与此同时,我也提高了自己的问题解决能力、团队协作能力和沟通能力。

实习过程中,我还通过与团队成员的交流和互动,了解了行业发展的趋势和前沿技术,为我今后的职业规划提供了很好的参考和借鉴。

四、心得体会在本次实习中,我深刻感受到了实践的重要性和学以致用的意义。

仅仅停留在课本知识上是远远不够的,只有亲自动手实践,才能真正理解和掌握知识的本质。

新型多功能电子闹钟设计毕业设计

新型多功能电子闹钟设计毕业设计

(2009届)本科生毕业设计(论文)2009年6月2009届本科毕业设计(论文)资料第一部分毕业论文(2009届)本科毕业设计(论文) 新型多功能电子闹钟设计2009年6月摘要本文提出了一种基于AT89C51单片机的新型多功能电子闹钟。

通过对设计方案的比较与论证,选择了适合本设计的时钟模块、闹铃模块、温度检测模块、键盘及显示模块、电源模块设计方案。

其中实时时钟采用DS12C887实现年月日时分秒等时间信息的采集和闹钟功能;温度检测模块由DS18B20集成温度传感器对现场环境温度进行实时检测;键盘和数码管与ZLG7289连接,通过键盘数码管可方便地校对时钟和设置闹钟时间;用蜂鸣器进行声音指示;采用7805 三端稳压集成芯片稳定输出5V直流电压。

通过对AT89C51单片机最小系统的原理分析,结合论文的设计要求,完成了系统流程图及系统程序的设计。

本设计可实现时间显示、闹钟设置、环境温度测量、交直流供电电源等功能。

关键词:单片机,电子闹钟多功能设计,温度检测,交直流供电ABSTRACTIn this article a new type of multi-functional electronic alarm clock, is based on AT89C51 single-chip controller is designed. Through the comparison of design and feasibility studies, choosing a design of the clock module, alarm module, the temperature detection module, a keyboard and display module, and power module design. Real time clock uses DS12C887 to achieve accurate date and alarm function such as the collection of time information; Temperature detection detects the on-site real-time by the integrated temperature sensor DS12B20 ambient temperature; keyboard and digital tube are connected with ZLG7289, can be easy to proof-reading alarm clock and set up time; It use buzzer for voice instructions; Using 7805 three-terminal regulators chip output DC voltage of 5V. By analysis the minimum system’principium of singlechip AT89C51, combine the request of this character, I finished the design of system flow chart and system program.The design can achieve the goal of time display, the alarm settings, the ambient temperature measurement, AC-DC power supply functions.Key word: AT89C51, the temperature sensor DS18B20, keyboard and demonstrates the ZLG7289, buzzer7805目录第1章绪论 (1)1.1 电子闹钟研究的背景 (1)1.2 本课题研究的意义 (1)1.3 本课题研究的主要内容 (2)第2章电子闹钟硬件电路设计 (4)2.1 电子闹钟总体设计方案的比较与论证 (4)2.1.1 设计要求 (4)2.1.2 设计方案的比较和论证 (4)2.2 电子闹钟主机电路设计及原理 (5)2.2.1 AT89C51芯片概述 (5)2.2.2 系统时钟电路设计 (8)2.2.3 系统复位电路设计 (8)2.3 时钟模块的设计及原理 (9)2.3.1 时钟模块设计方案比较比较与论证 (9)2.3.2 DS12C887芯片概述 (9)2.3.3 DS12C887与单片机的连接图 (14)2.4 温度检测模块的设计及原理 (14)2.4.1 温度检测模块设计方案比较与论证 (14)2.4.2 DS18B20芯片概述 (14)2.4.3 DS18B20的内部结构 (15)2.4.4 DS18B20在设计中的连接图 (17)2.5 闹铃声光指示电路设计 (17)2.6 键盘及显示电路设计 (18)2.6.1 ZLG7289芯片概述 (18)2.6.2 ZLG7289在设计中与键盘及数码管的连接图 (20)2.7 电源电路的设计 (20)第3章系统原理分析及软件部分 (22)3.1 原理分析 (22)3.2 单片机最小系统 (22)3.3 系统软件部分 (23)3.3.1 软件总体设计 (23)3.3.2 系统流程图及程序 (23)结论 (26)参考文献 (27)致谢 (28)附录1 (29)附录2 (31)第1章绪论1.1 本课题研究的背景随着科学技术的进步,现在的闹钟也不再是过去的老样子。

基于51的电子闹钟设计报告(附原理图、PCB图、程序)

基于51的电子闹钟设计报告(附原理图、PCB图、程序)

成都信息工程学院第五届嵌入式创新技术大赛基于MCS51的智能电子闹钟设计报告姓名学院班级实物图目录1.电子时钟的设计原理和方法 (1)1.1设计原理 (1)1.2 硬件电路的设计 (1)1.2.1 STC89C51RC简介 (1)1.2.2 键盘电路的设计 (2)1.2.3蜂鸣器驱动电路 (3)1.2.4 数码管驱动电路 (3)1.2.5 电源电路 (4)1.3软件部分的设计 (4)1.3.1主程序部分的设计 (4)1.3.2中断计时器及时间进位 (5)1.3.3 闹钟子函数 (7)1.3.4 按键扫描 (8)1.3.5 时钟闹钟设置 (9)1.3.6 显示数字函数 (10)1.3.7 显示界面函数 (10)1.3.8 闹钟记录及读取 (11)2.硬件调试 (13)附录A:电路原理图 (15)附录B:电路PCB图 (16)附录C:源程序 (17)1.电子时钟的设计原理和方法1.1设计原理系统框图1.2硬件电路的设计1.2.1 STC89C51RC简介STC89C52R CSTC89C51RC是一种带8K闪烁可编程可擦除只读存储器(FPETOM-FlashProgrammabalandErasableReadOnlyMemory )的低电压、高性能CMOS8位微型处理器,即单片机芯片。

单片机的可擦除只读存储器可以反复擦除1000次,内部FLASH 擦写次数为100000次以上。

该芯片使用高密度非易失存储制造技术,与工业标准的MCS-51指令集和输出管脚相兼容。

由于将多功能8位CPU 和闪烁存储器集成在单个芯片中,使得STC89C51RC 成为了一种性价比极高的微型处理器芯片,在许多电路设计中都得到了应用。

STC89C51RC 单片机特点:工作电压:5.5V-3.4V 工作频率:0-40MHz 用户应用程序空间:8K 片上集成128*8RAMISP (在系统可编程)/IAP (在应用可编程),无需专用编程器/仿真器可通过串口(P3.0/P3.1)直接下载用户程序EEPROM 功能共3个16位定时器/计数器,其中定时0还可以当成2个8位定时器使用外部中断4路通用异步串行口(UART ),还可用定时器软件实现多个UART 工作温度范围:0-75℃引脚说明:VCC:供电电压 GND :接地P0:P0是一个8位漏级开路双向I/O 口,低8位地址复用总线端口。

数字式闹钟设计报告

数字式闹钟设计报告

数字式闹钟设计报告摘要数字式闹钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字式闹钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

目前,数字式闹钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。

数字式闹钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。

它是由闹钟电路、定时电路、放大执行电路、电源电路组成。

为了简化电路结构,闹钟电路与定时电路之间的连接采用直接译码技术。

具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。

关键词:数字式闹钟;组合逻辑电路;时序逻辑电路。

一、设计任务和要求数字式闹钟的具体要求如下:(1) 时钟功能:具有24小时计时方式,显示时、分、秒。

(2) 能设定起闹时刻,响闹时间为1min,超过1min自动停止,具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。

(3) 整点报时功能:要求整点差10S开始每隔1S鸣响一声,共5声。

每次持续时间为1S,前四次500HZ声音,最后一次1000HZ声音。

(4) 具有快速校准时、分、秒的功能。

二、方案设计与论证方案一:秒信号发生器:由LM555与RC组成的构成多谐振荡器。

走时电路:由计数器和与非门组成,秒、分计时器:十进制与六进制联而成,由两片74LS160和与非门实现。

时计时器:模24,计数显示00~23。

由两片74LS160和与非门实现。

校时电路:利用开关将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,用555输出10HZ信号加至分,时计时器使其快速计数,到达标准时间后再切换回正确的输入信号。

闹钟电路:由数值比较器74LS85控制起闹点,当走时时间与设定的起闹时间相等时,闹钟将会起闹,整点时也会起闹报时。

显示电路:将计数器的输出直接与共阴极数码管相接,直接控制显示。

南航数字闹钟课程设计报告

南航数字闹钟课程设计报告

南航金城学院数字电路实验课程设计报告数字闹钟第一部分设计任务1.1设计任务设计、制作一个带有校时功能、可定时起闹的数字闹钟。

1.2设计指标闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成显示电路:译码器数码管模块结构与方框图第三部分电路设计与器件选择3.1 秒信号发生器3.1.1模块电路及参数计算提供秒脉冲取R1=1.5K,R2=2.4K C=220uFT≈1S 3.1.2工作原理和功能说明 3.2走时电路设计3.2.1模块电路及参数计算 包括秒计时器、分计时器、时计时器,每一部分由两片计数器级联构成。

秒计时器:十进制与六进制级联而成,由两片实现。

分计时器:同秒计时器。

秒分计时器原理时计数器原理74LS 160其清零方式通常称为“ 异步清零 ”,即只要 清零端有效,不管有无时钟信号,输出端立即为 0。

译码显示电路(A1、A2)(3)RS 触发器 (4)反相器(5)晶体管T用译码器74LS48对计数结果进行译码,译码后在共阴极数码管上显示。

3.2.3器件说明输出信号加至分,时计时器使其快速计数将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,使起闹点。

3.4.4 器件说明单稳态触发器: 输出端只有一个稳定状态, 另一个状态则是暂稳态。

加入触发信号后,它可以由稳定状态转入暂稳态,经过一定时间以后,它又会自动返回原来的稳定状态。

74LS123 内部包括两个独立的单稳态电路。

单稳输出脉冲的宽度,主要由外接的定时电阻( RT )和定时电容( CT )决定。

单稳的翻转时刻决定于A、B、CLR 三个输入信号。

第四部分整机电路4.1整机电路图我星1、布局合理,元件布局合理规划,尽量做到用线最少2、导线紧靠面包板3、交叉线路尽可能少5.2电路调试5.2.1调试步骤及结果 5.2.2故障分析及处理1、面包板测试我们是将面包板上的电源插孔的上面部分接高,下面部分接地,在用蜂鸣器调试的过程中,发现只有接到第二排的电源插孔时蜂鸣器不响,于是我们分析可能是面包板中间负极连接没有连好,我们换了一根导线重连后恢复正常。

《收音机实验报告》

《收音机实验报告》

电工电子实习(EDA)实验报告姓名蒋从伟班级网络10K1班学号 101909030107EDA 实验 一、实验目的:1、掌握多位计数器相连的设计方法。

2、掌握十进制、六十进制和二十四进制计数器的设计方法。

3、巩固数码管的驱动原理及编程方法。

4、掌握CPLD 技术的层次化设计方法。

二、实验要求:基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。

扩展要求:具有调整时间的功能以及整点报时功能。

三、实验原理:选用74LS163芯片共计6片,采用同步计数的方法来设计相关计时器,同一源输入脉冲接至CLK ,控制ENT 使能端实现计数,秒位计时器与分位计时器均为六十进制,时位计时器为24进制。

四、实验结果(电路图、仿真波形以及说明):1、秒位计时电路设计(60进制)秒低位计数用十进制计数器(74163改装)计数,由脉冲信号触发计数,9秒(秒低位输出1001B )时,秒低位清零;秒高位计数用六进制计数器(74163改装)计数,9秒时,秒高位芯片ENT 输入高电平,由此触发计数,59秒(秒低位输出1001B ,秒高位输出0101B )时,秒高位清零。

2、分位计时电路设计(六十进制)分位计时电路与秒位计时电路计时原理相差无几,只在触发计数的使能信号量上有一定差异。

分低位计数用十进制计数器(74163改装)计数,59秒时触发计数,9分59秒(分低位输出为1001H,秒高位输出0101B,秒低位输出1001B)时,分低位清零;分高位计数用六进制计数器(74163改装)计数,9分59秒时,分高位芯片ENT输入高电平,由此触发计数,59分59秒(分高位输出为0101B,分低位输出为1001B,秒高位输出0101B,秒低位输出1001B)时,分高位清零。

3、时位计时电路设计(二十四进制)时低位计数用十(或四)进制计数器(74163改装)计数,59分59秒时触发计数,9时59分59秒(时低位输出为1001B,分高位输出为0101B,分低位输出为1001B,秒高位输出0101B,秒低位输出1001B),或者23时59分59秒(时高位输出为0010B,时低位输出为0011B,分高位输出为0101B,分低位输出为1001B,秒高位输出0101B,秒低位输出1001B)时,时低位清零;时高位计数用三进制计数器(74163改装)计数,9时59分59秒时,时高位芯片ENT输入高电平,由此触发计数,23时59分59秒时,时高位清零。

有关制作收音机设计的实习报告

有关制作收音机设计的实习报告

有关制作收音机设计的实习报告实习报告:制作收音机设计一、实习背景在电子技术领域中,收音机是一种常见且重要的设备。

它广泛应用于家庭、办公室和汽车等各个场合。

为了更好地了解收音机的工作原理以及制作过程,我选择参加了一家电子科技公司的实习项目,并负责制作一个简单的收音机设计。

二、实习目标1. 了解收音机的基本工作原理;2. 掌握使用电子元件和电路设计软件的方法;3. 学习电子电路的组装和焊接技术;4. 完成一个简单的收音机设计。

三、实习过程1. 学习工作原理:在实习开始之前,我首先对收音机的工作原理进行了学习。

通过阅读相关资料和参考书籍,我了解到,收音机主要由天线、调谐电路、放大电路和扬声器等组成。

天线接收到无线电信号后,通过调谐电路进行调谐,进而通过放大电路放大信号,并最终通过扬声器播放出来。

2. 设计电路:在了解了收音机的基本工作原理之后,我开始使用电路设计软件进行电路设计。

根据收音机的原理图,我选择合适的电子元件,并将其连接起来。

我需要确保电路符合收音机的工作要求,并且能够正常工作。

3. 采购元件:完成电路设计之后,我需要根据元件清单采购所需的电子元件。

通过与供应商联系,我成功购买到了所需的元件,并准备开始组装和焊接电路。

4. 组装电路:在收到元件之后,我根据电路设计图对元件进行组装。

我将电子元件逐一焊接在电路板上,并且检查和确认每个焊点的质量。

通过组装电路,我加深了对电子元件的了解,并提高了焊接技术。

5. 测试电路:在完成电路组装之后,我使用万用表对电路进行测试。

我确保每个电子元件都连接正确,各个部分的电路都正常工作。

对于测试中的问题,我进行了排查并进行修复。

最终,我成功地将收音机设计的电路完成。

6. 调试和更新:完成电路设计之后,我将电路连接到电源上,并调节收音机的频率和音量等参数。

我通过调谐电路,可以接收到不同的电台频率,并且能够通过扬声器播放出来。

在调试的过程中,我记录了各种参数的调整效果,并对电路进行了相应的更新。

数字式闹钟报告

数字式闹钟报告

数字式闹钟报告1. 引言数字式闹钟是一种常见的电子设备,用于提醒人们在特定时间起床或进行其他活动。

本报告旨在分析数字式闹钟的功能、设计和市场需求,并评估其未来发展趋势。

2. 功能数字式闹钟通常具备以下基本功能:•时间显示:数字式闹钟可以显示当前的时间,以及小时和分钟。

•闹钟设置:用户可以设置闹钟在指定时间触发提醒功能。

•提醒功能:闹钟在设定的时间触发时,发出声音、振动或闪光灯等提醒方式,以唤醒用户或提醒特定活动。

•睡眠功能:一些数字式闹钟还提供睡眠功能,允许用户设置一个定时器,在一段时间后自动关闭闹钟。

此外,随着科技的不断进步,数字式闹钟也逐渐拓展了其他创新功能,如:•多重闹钟:用户可以设置多个闹钟以满足不同的时间需求。

•声音选择:用户可以选择不同的闹钟声音,以适应个人喜好。

•FM收音机:一些数字式闹钟还集成了FM收音机功能,允许用户收听广播电台。

3. 设计数字式闹钟的设计追求简洁、实用和易用性。

常见设计元素包括:•LED显示屏:使用LED显示屏可以清晰地显示时间和其他信息,而且能够在光线暗的环境下也能看清。

•按钮和旋钮:数字式闹钟通常配备一些按钮和旋钮,用于设置闹钟、调整时间等操作。

•电源:数字式闹钟通常使用电池作为主要电源,可以充电或使用替换电池。

•外壳材质:常见的数字式闹钟外壳材质包括塑料、金属或木材,以适应不同用户的审美需求。

4. 市场需求数字式闹钟在现代生活中扮演着重要角色,市场需求主要集中在以下几个方面:•实用性:数字式闹钟的主要功能是提醒用户起床,因此对准确、可靠的时间显示和稳定的提醒功能有较高要求。

•多功能:现代人生活节奏快,对数字式闹钟的功能要求越来越多样化,如多重闹钟、收音机功能等。

•设计美观:用户对数字式闹钟外观的要求也越来越高。

时尚、简约、个性化的设计在市场上具备竞争力。

•智能化:随着智能家居的兴起,用户对数字式闹钟的智能化程度也有所期待,如与智能手机连接、语音控制等。

5. 未来发展趋势随着科技的进步和用户需求的变化,数字式闹钟在未来可能出现以下几个发展趋势:•智能化:数字式闹钟将更加智能化,整合更多的智能功能,与其他智能设备进行互联,为用户提供更多便利。

收音机设计实验报告(3篇)

收音机设计实验报告(3篇)

第1篇一、实验目的1. 理解收音机的基本工作原理和组成结构。

2. 学习电子元器件的识别、选用和测试方法。

3. 掌握焊接技术,实现收音机的组装。

4. 学习调试技巧,提高收音机的性能。

二、实验器材1. 电子元器件:晶体管、二极管、电容、电阻、电感等。

2. 工具:电烙铁、万用表、螺丝刀、镊子、剪刀等。

3. 电源:两节5号电池。

4. 电路板、焊锡、松香等辅助材料。

三、实验原理收音机是一种接收无线电广播信号的电子设备。

其基本原理是:通过天线接收广播电台发射的高频电磁波,经调谐电路选择所需频率,通过混频电路将高频信号变为固定的中频信号,再经中放电路放大,最后通过检波电路将中频信号还原为音频信号,由扬声器输出。

四、实验步骤1. 电路设计:根据收音机的工作原理,设计电路图,选择合适的电子元器件。

2. 元器件识别与测试:识别电路图中各个元器件的符号和参数,使用万用表测试元器件的性能,确保元器件完好。

3. 焊接:按照电路图,将元器件焊接在电路板上。

注意焊接顺序和焊接质量。

4. 组装:将焊接好的电路板安装在收音机的外壳中,连接天线、扬声器等部件。

5. 调试:调整电路参数,使收音机正常工作。

具体步骤如下:a. 调整调谐电路,使收音机能够接收广播电台信号。

b. 调整中放电路,使信号得到适当放大。

c. 调整检波电路,使音频信号得到还原。

d. 调整扬声器音量,使声音清晰。

五、实验结果与分析1. 实验成功组装了一台收音机,能够正常接收广播电台信号。

2. 在调试过程中,通过调整电路参数,使收音机的性能得到提高。

3. 实验过程中遇到了一些问题,如元器件损坏、焊接不良等,通过查找原因并解决,最终完成了实验。

六、实验总结1. 通过本次实验,掌握了收音机的基本工作原理和组成结构。

2. 学会了电子元器件的识别、选用和测试方法。

3. 提高了焊接技术,实现了收音机的组装。

4. 学会了调试技巧,提高了收音机的性能。

5. 在实验过程中,培养了解决问题的能力和严谨的工作态度。

机电时钟收音机实训报告

机电时钟收音机实训报告

一、实训目的本次实训旨在通过组装一台机电时钟收音机,使学生深入了解收音机的工作原理、电路设计、元器件选用及焊接工艺。

通过实训,使学生掌握以下技能:1. 熟悉收音机的基本结构和工作原理;2. 掌握电子元器件的识别和选用方法;3. 学会焊接工艺,提高动手能力;4. 培养严谨的科学作风和团队协作精神。

二、实训内容1. 收音机基本结构及工作原理收音机主要由天线、调谐器、本机振荡器、混频器、中频放大器、检波器、低频放大器、扬声器等部分组成。

其工作原理是:天线接收到的无线电波经过调谐器选出所需频率的信号,与本机振荡器产生的信号进行混频,得到中频信号。

中频信号经过中频放大器放大后,再经过检波器还原成音频信号,最后由低频放大器和扬声器输出。

2. 元器件选用及焊接工艺(1)元器件选用根据电路图,选用以下元器件:- 天线:拉杆天线- 调谐器:LC谐振回路- 本机振荡器:LC振荡回路- 混频器:晶体管- 中频放大器:晶体管- 检波器:二极管- 低频放大器:晶体管- 扬声器:8Ω、0.5W(2)焊接工艺1)焊接前的准备工作:准备好电烙铁、焊锡丝、助焊剂、镊子、斜口钳等工具;2)焊接过程:按照电路图,将元器件正确地焊接在电路板上,注意焊接顺序和焊接质量;3)焊接后的检查:使用万用表检测焊接点的连通性,确保电路完整。

3. 收音机调试(1)调整调谐器:根据所需接收的电台频率,调整LC谐振回路的电容和电感,使回路谐振频率与电台频率一致;(2)调整本机振荡器:调整LC振荡回路的电容和电感,使振荡频率与接收频率相近;(3)调整中频放大器:调整中频放大器的增益,使信号得到合适的放大;(4)调整低频放大器:调整低频放大器的增益,使音频信号得到合适的放大。

三、实训总结1. 通过本次实训,我对收音机的基本结构和工作原理有了更深入的了解,掌握了元器件的选用和焊接工艺;2. 在实训过程中,我学会了团队协作,与同学们共同解决问题,提高了自己的动手能力;3. 实训使我认识到,严谨的科学作风和良好的工作习惯对电子产品的制作至关重要。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

收音机闹钟设计报告
一.产品机能树
闹钟
收音机
二.产品涉及群体定位及分析
定位:60岁左右刚退休的老年人
分析:该群体有很充足的自由活动时间切对生活的质量要求较高,比较追求生活品质;注重锻炼和养生、健康等方面信息;比较关注身边时事,能接受科技前沿产品。

三.产品设计概念定位
本产品为设计定位主要为给老年人外出散步或锻炼时使用。

考虑到使用群体的特殊性,增添了一项心率仪计步器的功能。

在外出时,可以
通过计步器来控制锻炼的强度,也可以通过收音机收听新闻或一些保健养生的信息,同时闹钟功能可以提醒时间。

当然,不仅限于外出,在家里也可以在起床或让厕所时使用。

因为使用场景比较特使,故要求产品具有便携性。

四.第一阶段草图
七.产品尺寸控制图。

相关文档
最新文档