ADC0809引脚功能及程序

合集下载

ADC0809引脚功能及程序汇总

ADC0809引脚功能及程序汇总
平:
• 可以作为状态信号由CPU查询; • 可以作为中断请求信号通知CPU。
• (4) CPU在查询式I/O程序或中断服务程序中:
• 执行输入指令(读ADC0809数据端口); • 该指令经地址译码电路产生OE信号, • 0809内三态缓冲器被打开, • 转换结果通过数据总线进入CPU。
ADC0809应用说明
5、 AD转换电路
编程思路
• (1) 向AD0809写入通道号并启动转换 • (2) 延时1ms后等待EOC出现高电平 • (3) 给OE置高并读入转换数据存入数据
地址或数组中。 • (4) 显示、传输、控制
Proteus 的仿真问题
造成较大的误差,需要采取适当的滤波措施。
2、ADC0809通道地址选择表
ADDC ADDB ADDA 000 001 010 011 100 101 110 111
选通的通道 IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7
3、ADC0809结构1
启动(高电平脉冲,输入)
start
4、ADC0809转换工作时序
结束 允许输出
1.送地址 2.启动 3.结束 4.允许输出 5.得到数据
ADC0809的工作过程
• (1)ALE信号锁存地址信号ADDA~ADDC。对应 的模拟信号进入0809
• (2) START脉冲(下跳沿)启动A/D转换 • (3) 转换完成后,转换结束信号EOC变为高电
转换的数据就输出给单片机了。
• ADC0809与系统有三种常见的连接方法: • (1) 占用三个I/O端口: • 端口1用来向0809输出模拟通道号并锁存; • 端口2用于启动转换; • 端口3读取转换后的数据结果。 • (2) 占用二个I/O端口: • 端口1输出模拟通道号并锁存,同时启动转换; • 端口2读取转换后的数据结果。 • (3) 通过并行接口芯片(例如8255A)连接。

FPGA与ADC0809接口电路详解

FPGA与ADC0809接口电路详解

FPGA与ADC0809接口电路详解注:(1)本程序基于FPGA和vhdl编写有详尽的程序解释和原理分析以及原理图,状态图(2)对于adc0809具体资料可上网查在此不累述一.FPGA与ADC0809的接口电路图原理二.关于ADC0809的说明(重点)(1)ale信号(引脚):高电平时把三个地址信号送入地址锁存器,并经译码器得到地址数据,以选择相应的模拟输入通道。

(2)oe信号(引脚)en使能信号:电平由低变高时,打开数据输出锁存器,将转换数据送到数据总线上(3)eoc信号(引脚):eoc为高电平时完成转换,为低电平时正在转换。

(4)start信号(引脚):要给start线送一个100ns宽的启动正脉冲,start下跳沿时,开始进行A/D转换,在转换期间start以保持低电平。

三.转换状态图对于状态图的真值表未列出 注意对应的注释为vhdl 语句ale<='1';start<='0';en<='0';----eoc='1' ale<='0';start<='0';en<='0';--再次检测数据是否转换完 if eoc='0' then next_state<=st4;else next_state<=st5;器,将数据送入数据总线存器四.ADC0809采样接口电路程序--*********ADC0809采样控制*************--******因为FPGA 的时钟频率为50MHz ,则256分频后,即ADC0809输入时钟为195KHz****** --******对ADC0809进行简单的采样控制,得到的数据进FPGA 送到8个并排的数码管显示***** library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity PL_AD isport ( d : in std_logic_vector(7 downto 0); --ADC0809输出的采样数据输入FPGA clk,eoc: in std_logic; --clk 为系统时钟,eoc 为ADC0809转换结束信号输入FPGA lock1,start, ale,en: out std_logic; --ADC0809控制信号FPGA 输出信号 abc_in :in std_logic_vector(2 downto 0); --模拟选通信号abc_out :std_logic_vector(2 downto 0);--ADC0809模拟信号选通信号q : out std_logic_vector(7 downto 0));送至8个并排数码管信号FPGA 输出数字信号 end pl_AD;architecture behav of PL_AD istype states is ( st0,st1, st2, st3, st4,st5,st6);--定义状态类型枚举类型signal current_state, next_state:states:=st0;--定义总体两个状态现态和次态并且初值为st0态signal regl :std_logic_vector(7 downto 0);--定义中间寄存器signal lock : std_logic;signal qq:std_logic_vector(7 downto 0);--定义计数器用于分频begincom:process(current_state,eoc) –此进程主要是驱动ADC0809工作即数据转换过程begincase current_state iswhen st0=>next_state<=st1;ale<='0';start<='0';en<='0';--准备when st1=>next_state<=st2;ale<='1';start<='0';en<='0';--三个地址信号送入地址锁存器when st2=>next_state<=st3;ale<='0';start<='1';en<='0';--开始数据转换when st3=> ale<='0';start<='0';en<='0';--检测数据是否转换完if eoc='1' then next_state<=st3;else next_state<=st4;end if;when st4=> ale<='0';start<='0';en<='0';--再次检测数据是否转换完if eoc='0' then next_state<=st4;else next_state<=st5;end if;when st5=>next_state<=st6;ale<='0';start<='0';en<='1'; --打开输出数据锁存器,将数据送入数据总线when st6=>next_state<=st0;ale<='0';start<='0';en<='1';regl<=d;--打开输出数据锁存器,将数据送入寄存器regl when others=> next_state<=st0;ale<='0';start<='0';en<='0';end case;end process;clock:process(clk) --对系统时钟进行分频,得到驱动ADC0809的时钟信号beginif clk'event and clk='1' then qq<=qq+1;if QQ="01111111" THEN lock<='1';--实现分频current_state <=next_state;--在lock上升沿,转换至下一状态elsif qq<="01111111" then lock<='0';end if;end if;end process;q<=regl;--寄存器数据输出即FPGA输出lock1<=lock;abc_out<=abc_in;--模拟选通信号送往ADC0809end behav;注:有错when st3=> ale<='0';start<='0';en<='0';--检测数据是否转换完if eoc='1' then next_state<=st3;else next_state<=st4;end if;when st4=> ale<='0';start<='0';en<='0';--再次检测数据是否转换完if eoc='0' then next_state<=st4;else next_state<=st5;end if;不过我这里的注释好像错了,这两个when合起来才是检测数据是否转换完的。

adc0809引脚图及功能

adc0809引脚图及功能

adc0809引脚图及功能
ADC0809是8位A / D转换器,它的转换方法为逐次逼近法。

ADC0809为CMOS工艺,其管脚为28脚,管脚排列如图所示。

各个管脚的功能如下:
INo ~ IN7:八个模拟量输入端。

START:启动A / D转换,当START为高电平时,开始A / D转换。

EOC:转换结束信号。

当A / D转换完毕之后,发出一个正脉冲,表示A / D转换结束,此信号可用做
A / D转换是否结束的检测信号或中断申请信号(加一个反相器)。

C、B、A:通道号地址输入端,C、B、A为二进制数输入,C为最高位,A 为最低位,CBA从000~111分别选中通道IN0 ~ IN7。

ALE:地址锁存信号,高电平有效。

当ALE为高电平时,允许C、B、A 所示的通道被选中,并把该
通道的模拟量接入A / D转换器。

CLOCK:外部时钟脉冲输入端,改变外接R、C可改变时钟频率。

D7~D0:数字量输出端。

D7为高位。

VREF(+),VREF(—):参考电压端子,用来提供D / A转换器权电阻的标准电平。

一般 VREF(+)=5V,
VREF(—)= 0V
Vcc:电源电压,+5V。

GND:接地端。

图 ADC0809 管脚排列图
ADC0809可以进行八路A / D转换,并且这种器件使用时无需进行调零和满量程调整,转换速度和精度属中高档,售价又不贵。

所以,一般控制场合采用这些ADC0809(或0800 系列)的A / D转换片是比较理想的。

AD0809详解

AD0809详解

们重在实际制做,太罗嗦的内容我就不说了,只讲些跟制做有关的最精炼的知识。

ADC0809是可以将我们要测量的模拟电压信号量转换为数字量从而可以进行存储或显示的一种转换IC。

下面是它的管脚图和逻辑图:管脚功能说明:IN0-IN7:模拟量输入通道。

就是说它可以分时地分别对八个模拟量进行测量转换。

ADDA-C:地址线。

也就是通过这三根地址线的不同编码来选择对哪个模拟量进行测量转换。

ALE:地址锁存允许信号。

在低电平时向ADDA-C写地址,当ALE跳至高电平后ADDA-C上的数据被锁存START:启动转换信号。

当它为上升沿后,将内部寄存器清0。

当它为下降沿后,开始A/D转换。

D0-D7:数据输出口。

转换后的数字数据量就是从这输出给S52的。

OE:输出允许信号,是对D0-D7的输出控制端,OE=0,输出端呈高阻态,OE=1,输出转换得到的数据。

CLOCK:时种信号。

ADC0809内部没有时钟电路,需由外部提供时钟脉冲信号。

一般为500KHzEOC:转换结束状态信号。

EOC=0,正在进行转换。

EOC=1,转换结束,可以进行下一步输出操作REF(+)、REF(-):参考电压。

参考电压用来与输入的模拟量进行比较,作为测量的基准。

一般REF(=)=5v REF(-)=0V。

下面我先给出ADC0809的时序图再说说它的工作过程:它的工作过程是这样的,①在IN0-IN7上可分别接上要测量转换的8路模拟量信号。

有人问了,可不可以只接一路?我就只想测一个模拟信号。

当然可了②将ADDA-ADDC端给上代表选择测量通道的代码。

如000(B)则代表通道0;001(B)代表通道1;111则代表通道7。

③将ALE由低电平置为高电平,从而将ADDA-ADDC送进的通道代码锁存,经译码后被选中的通道的模拟量送给内部转换单元。

④给START一个正脉冲。

当上升沿时,所有内部寄存器清零。

下降沿时,开始进行A/D转换;在转换期间,START保持低电平。

ADC0809工作原理及C编程

ADC0809工作原理及C编程

3.C 语言源代码编辑
C51 程序设计是单片机应用的必要组成部分。常见的 C 程序包括注释、头文件、全局变
量定义、自定义函数声明、宏定义、主函数和子函数等内容,对于一个优秀的 C 程序案例,
注释必不可少。本任务作为电压模拟信号采集实验。参考程序如下所示:
/********************************************************************************** * 平台:THMEMU-1 + Keil U4 + STC89C52 * 晶振:12MHZ
**********************************************************************************/
#include<reg51.h>
//调用库文件
unsigned char weima[10]={0xfc,0x60,0xda,0xf2, 0x66,0xb6,0xbe,0xe0,0xfe,0xf6};
ADC0809 是 8 通道 8 位 CMOS 逐次逼近式 A/D 转换芯片,片内有模拟量通道选择开关 及相应的通道锁存、译码电路,A/D 转换后的数据由三态锁存器输出,由于片内没有时钟需 外接时钟信号,利用它可直接输入 8 个单端的模拟信号分时进行 A/D 转换,在多点巡回检测 和过程控制、运动控制中应用十分广泛。。芯片的引脚及内部结构如图 2。
//时钟信号 //延时函数
//T1 初始化 //串口初始化 //T1 定时开始
//先上升沿,后下降沿,延时给转换时间
//T1 定时器,方式 2 //初值,10us,时钟频率 50KHz // // // //

ADC0809中文资料

ADC0809中文资料

ADC0809 中文资料
ADC0809 是带有8 位A/D 转换器、8 路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。

它是逐次逼近式A/D 转换器,可以和单片机直接接口。

(1)ADC0809 的内部逻辑结构
由下图可知,ADC0809 由一个8 路模拟开关、一个地址锁存与译码器、一个A/D 转换器和一个三态输出锁存器组成。

多路开关可选通8 个模拟通道,允许8 路模拟量分时输入,共用A/D 转换器进行转换。

三态输出锁器用于锁存A/D 转换完的数字量,当OE 端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).ADC0809 引脚结构
ADC0809 各脚功能如下:
D7-D0:8 位数字量输出引脚。

IN0-IN7:8 位模拟量输入引脚。

VCC:+5V 工作电压。

模数转换器ADC0809应用原理

模数转换器ADC0809应用原理

精品文档AD0809应用原理--很全面的资料1.0809 的芯片说明:ADC0809是带有 8 位 A/D 转换器、 8 路多路开关以及微处理机兼容的控制逻辑的 CMOS 组件。

它是逐次逼近式 A/D 转换器,可以和单片机直接接口。

(1) ADC0809的内部逻辑结构由上图可知, ADC0809由一个 8 路模拟开关、一个地址锁存与译码器、一个A/D 转换器和一个三态输出锁存器组成。

多路开关可选通8 个模拟通道,允许8 路模拟量分时输入,共用 A/D 转换器进行转换。

三态输出锁器用于锁存A/D 转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。

( 2).引脚结构IN0- IN7:8 条模拟量输入通道ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入和控制线: 4 条ALE为地址锁存允许输入线,高电平有效。

当ALE线为高电平时,地址锁存与译码器将 A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。

A,B 和 C 为地址输入线,用于选通 IN0-IN7 上的一路模拟量输入。

通道选择表如下表所示。

C B A 选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7数字量输出及控制线: 11 条ST 为转换启动信号。

当 ST 上跳沿时,所有内部寄存器清零;下跳沿时,开始进行 A/ D 转换;在转换期间, ST应保持低电平。

EOC为转换结束信号。

当 EOC为高电平时,表明转换结束;否则,表明正在进行 A/D 转换。

OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。

OE= 1,输出转换得到的数据; OE=0,输出数据线呈高阻状态。

D7- D0为数字量输出线。

ADC0809中文资料

ADC0809中文资料

A D C0809中文资料(总5页) -CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。

它是逐次逼近式A/D转换器,可以和单片机直接接口。

(1)ADC0809的内部逻辑结构由下图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。

多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。

三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).ADC0809引脚结构ADC0809各脚功能如下:D7-D0:8位数字量输出引脚。

IN0-IN7:8位模拟量输入引脚。

VCC:+5V工作电压。

GND:地。

REF(+):参考电压正端。

REF(-):参考电压负端。

START:A/D转换启动信号输入端。

ALE:地址锁存允许信号输入端。

(以上两种信号用于启动A/D转换)EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。

OE:输出允许控制端,用以打开三态数据输出锁存器。

CLK:时钟信号输入端(一般为500KHz)。

A、B、C:地址输入线。

ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入和控制线:4条ALE为地址锁存允许输入线,高电平有效。

当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进入转换器进行转换。

A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。

通道选择表如下表所示。

C B A选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6数字量输出及控制线:11条ST为转换启动信号。

ADC0809是什么?

ADC0809是什么?

ADC0809 是什么?
ADC0809 是美国国家半导体公司生产的CMOS 工艺8 通道,8 位逐次逼近式A/D 转换器。

其内部有一个8 通道多路开关,它可以根据地址码锁存译
码后的信号,只选通8 路模拟输入信号中的一个进行A/D 转换。

是目前国内
应用最广泛的8 位通用A/D 芯片。

1.ADC0809 的主要特性
1)8 路输入通道,8 位A/D 转换器,即分辨率为8 位。

2)具有转换起停控制端。

3)转换时间为100&mu;s(时钟为640kHz 时),130&mu;s(时钟为500kHz 时)
4)单个+5V 电源供电
5)模拟输入电压范围0~+5V,不需零点和满刻度校准。

6)工作温度范围为-40~+85 摄氏度7)低功耗,约15mW。

2.ADC0809 的内部结构
ADC0809 是CMOS 单片型逐次逼近式A/D 转换器,内部结构如图13.22。

8.4 ADC0809接口电路及程序设计

8.4 ADC0809接口电路及程序设计

分频模块(clock)



clock:process(clk) --对系统时钟进行分频,得到ADC0809转 换工作时钟 begin if clk'event and clk='1' then qq<=qq+1; --在clk1的上升沿, 转换至下一状态 if QQ="01111111" THEN clk1<='1'; current_state <=next_state; elsif qq<="01111111" then clk1<='0'; end if; end if; end process; q<=regl; abc_out<=abc_in; end behav;
ADC0809 VHDL采样控制程序设计
ADC0809的工作时序图





START是转换启动信号,一个正脉冲过后A/D开 始转换;ALE是3位通道选择地址(ADDC、 ADDB、ADDA)信号锁存信号。 当模拟量送至某一输入端(如IN-0或IN-1)等, 由3位地址信号选择,而地址信号由ALE锁存。 EOC是转换情况状态信号,当启动转换约100μs 后,EOC产生一个负脉冲,以示转换结束。 在EOC的上升沿后,且输出使能信号ENABLE为 高电平,则控制打开三态缓冲器,把转换好的8 位数据送至数据总线。 至此ADC0809的一次转换结束
FPGA与ADC0809接口电路原理图
ADC0809与FPGA接口电路设计


FPGA_IO1~8接收ADC0809 8位数数据; FPGA_IO9接收ADC0809 转换结束信号EOC; FPGA_IO10~12 为ADC0809提供8路模拟信号开 关的3位地址选通信号(ADD-A~C); FPGA_IO13 为ADC0809提供地址锁存控制信号 ALE:高电平时把三个地址信号送入地址锁存器, 并经译码器得到地址输出,以选择相应的模拟输 入通道;

ADC0809中文资料详细

ADC0809中文资料详细

ADC0809中文资料2009-08-16 19:00ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。

它是逐次逼近式/D转换器,可以和单片机直接接口。

(1)ADC0809的内部逻辑结构由下图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。

多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。

三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).ADC0809引脚结构DC0809各脚功能如下:7-D0:8位数字量输出引脚。

N0-IN7:8位模拟量输入引脚。

CC:+5V工作电压。

ND:地。

EF(+):参考电压正端。

EF(-):参考电压负端。

TART:A/D转换启动信号输入端。

LE:地址锁存允许信号输入端。

(以上两种信号用于启动A/D转换).OC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。

E:输出允许控制端,用以打开三态数据输出锁存器。

LK:时钟信号输入端(一般为500KHz)。

、B、C:地址输入线。

ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入和控制线:4条ALE为地址锁存允许输入线,高电平有效。

当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。

A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。

通道选择表如下表所示。

C B A选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7数字量输出及控制线:11条ST为转换启动信号。

ADC0809模数转换器的使用详解与程序

ADC0809模数转换器的使用详解与程序

值得一提的是,我按照上面电路,把 AD 的 ABC 三脚共同接接地时,AD0809088 始终输 出高电平,最后当我把 BC 共同接地,在程序中给 A 一个 0,则 AD0809 正常运行,有输出, 并且发现当所给的时钟频率越低, 最高精度的那位输出越稳定, 具体参数范围从芯片资料里 有详细介绍,不过十全英文,专业词汇哦。哈哈 现将程序记录如下: 完整的程序从这里下载: /ziliao/file/0809c51x.rar
ADC0809 模数转换器的使用详解与程序
带我们的王老师刚评上硕导了,下学期开始带研究生了。 从他那里了解到每做一次实验或者实践,应该把它用规范的格式记录下来,一来自己可以 日后查看,二来同学间可以相互交流,共通过进步,甚为必要。现将本次实验记录如下。
实验 名称:根据光强控制外围器件的通断。 实验原理;使用 AD 芯片将太阳能电池产生的光生伏打电压转化为数字信号,再通过单片 机处理后,在数码管上显示电压,同时根据设定电压伐值,控制外围器件的通断。 实验所需的设备:51 单片机烧写器一个,电脑一台,数字式示波器一个,数字式万用表一 个
// //
开始转换 关地址//等来自 eoc 变为 1//
打开输出
temp=P1; oe=0; //
//
取 p1 到 p3 关输出
temp=temp*50; temp=temp/256;
qian=temp/1000; bai=temp%1000/100; shi=temp%100/10; ge=temp%10;
编辑本段转换方法
模数转换器
模数转换过程包括量化和编码。量化是将模拟信号量程分成许多离散量级,并确定输 入信号所属的量级。编码是对每一量级分配唯一的数字码,并确定与输入信号相对应 的代码。最普通的码制是二进制,它有 2n 个量级( n 为位数) , 可依次逐个编号。模 数转换的方法很多,从转换原理来分可分为直接法和间接法两大类。 直接法是直接 将电压转换成数字量。它用数模网络输出的一套基准电压,从高位起逐位与被测电压 反复比较,直到二者达到或接近平衡(见图) 。控制逻辑能实现对分搜索的控制,其 比较方法如同天平称重。先使二进位制数的最高位 Dn-1 = 1 ,经数模转换后得到一个 整个量程一半的模拟电压 VS ,与输入电压 Vin 相比较,若 V in> VS , 则保留这一位;若 V in< V in ,则 Dn-1 = 0 。然后使下一位 Dn -2 = 1, 与上一次的结果一起经数模转换后与 V in 相比较 , 重复这一过程,直到使 D 0 = 1 ,再与 V in 相比较 , 由 V in> VS 还是 V in< V 来 决定是否保留这一位。经过 n 次比较后, n 位寄存器的状态即为转换后的数据。这种 直接逐位比较型(又称反馈比较型)转换器是一种高速的数模转换电路,转换精度很 高,但对干扰的抑制能力较差,常用提高数据放大器性能的方法来弥补。它在计算机

ADC0809中文资料

ADC0809中文资料

ADC0809中文资料1.主要特性1)8路8位A/D转换器,即分辨率8位.2)具有转换起停控制端.3)转换时间为100μs4)单个+5V电源供电5)模拟输入电压围0~+5V,不需零点和满刻度校准.6)工作温度围为-40~+85摄氏度7)低功耗,约15mW.2.部结构ADC0809是CMOS单片型逐次逼近式A/D转换器,部结构如图13.22所示,它由8路模拟开关,地址锁存与译码器,比较器,8位开关树型D/A转换器,逐次逼近图13.22 ADC0809部结构框图寄存器,三态输出锁存器等其它一些电路组成.因此,ADC0809可处理8路模拟量输入,且有三态输出能力,既可与各种微处理器相连,也可单独工作.输入输出与TTL兼容.图13.23 ADC0809引脚图3.外部特性(引脚功能)ADC0809芯片有28条引脚,采用双列直插式封装,如图13.23所示.下面说明各引脚功能.IN0~IN7:8路模拟量输入端.2-1~2-8:8位数字量输出端.ADDA,ADDB,ADDC:3位地址输入线,用于选通8路模拟输入中的一路.如表13.2所示.表13.2 ADDA,ADDB,ADDC真值表ALE:地址锁存允许信号,输入,高电平有效.START: A/D转换启动信号,输入,高电平有效.EOC: A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平).OE:数据输出允许信号,输入,高电平有效.当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量.CLK:时钟脉冲输入端.要求时钟频率不高于640KHZ.REF(+),REF(-):基准电压.Vcc:电源,单一+5V.GND:地.ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中.此地址经译码选通8路模拟输入之一到比较器.START上升沿将逐次逼近寄存器复位.下降沿启动A/D转换,之后EOC输出信号变低,指示转换正在进行.直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请.当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上.ADC0809中文资料2009-08-16 19:00ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。

ADC0809芯片介绍

ADC0809芯片介绍

ADC0809芯⽚介绍1、 ADC0809芯⽚介绍● 8位逐位逼近式A/D 转换器●分辨率为1/ 28 ≈0.39 %●模拟电压转换范围是 0 - +5 V(对应A/D 转换值为00—FFH 。

●标准转换时间为100 s ●采⽤28脚双⽴直插式封装引脚结构IN7~IN0:8条模拟量输⼊通道地址输⼊和控制线:4条 ? 数字量输出及控制线:11条 ? 电源线及其他:5条2、 ADC0809与8051的硬件接⼝电路程序清单: ? ORG0000HLJMP STAD8 ORG 0003HLJMP INADR ORG 0100HSTAD8: MOV R0,#30HMOV DPTR ,#0000H ? MOV R7,#08HSETB EASETB EX0 ;开外中断1MOVX @DPTR ,A ;启动A/D 转换 ? L1: SJMP L1 ;等待中断 ?中断服务程序:ORG 0200H;外中断1的⼊⼝地址INADR: MOVX A ,@DPTR ;读取A/D 转换数据 ? MOV @R0,A ;存储数据 ? INC R0 ? INC DPRT ? MOVX @DPTR ,A ? DJNZ R7,LOOPCLR EX0 LOOP: RETI ;中断返回2.3.2 AD574 1)、引脚功能 ——读出和转换控制信号,当 =0时,启动A /D 转换;当时,允许读转换值。

A 0——转换和读字节选择信号。

决定转换位数时:A 0=0,进⾏12位A /D 转换;A 0=1,进⾏8位A /D 转换。

读12位转换结果时:A 0=0,读取转换结果中的⾼8位数据;A 0=1,读取低4位数据。

——A/D 转换值输出控制端。

当时,12位转换值并⾏输出;当时,转换值按双8位形式输出。

STS ——转换状态输出信号。

启动A/D 转换后STS=1,表⽰转换正在进⾏;A/D 转换结束,STS=0。

可以⽤它向CPU 发出中断请求信号,或供CPU 查询⽤。

ADC0809功能简介

ADC0809功能简介

ADC0809功能简介
ADC0809 功能简介
ADC0809 是8 路8 位逐次逼近型A/D 转换CMOS 器件,在过程控制和机床控制等应用中,能对多路模拟信号进行分时采集和A/D 转换,输出数字信号通过三态缓冲器,可直接与微处理器的数据总线相连接。

一:ADC0809 的内部结构和引脚共能
ADC0809 的内部结构原理如图10.3.1 所示,芯片的主要组成部分是一个8 位逐次比较型A/D 转换器。

为了实现8 路模拟信号的分时采集,片内设置了带有锁存功能的8 路模拟选通开关,以及相应的通道地址锁存和译码电路,可对8 路0~5V 的输入模拟电压进行分时转换,转换后的数据送入三态输出数据锁存器。

ADC0809 的主要特性如下:
(1) 辨率为8 位
(2) 最大不可调误差小于正负ULSB
(3) 可锁存三态输出,能与8 位微处理器接口
(4) 输出与TTL 兼容。

ad0809说明

ad0809说明

1. 0809的芯片说明:ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。

它是逐次逼近式A/D转换器,可以和单片机直接接口。

(1)ADC0809的内部逻辑结构由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。

多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。

三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).引脚结构IN0-IN7:8条模拟量输入通道ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入和控制线:4条ALE为地址锁存允许输入线,高电平有效。

当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。

A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。

通道选择表如下表所示。

数字量输出及控制线:11条ST为转换启动信号。

当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,ST应保持低电平。

EOC为转换结束信号。

当EOC为高电平时,表明转换结束;否则,表明正在进行A/D 转换。

OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。

OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。

D7-D0为数字量输出线。

CLK为时钟输入信号线。

因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,VREF(+),VREF(-)为参考电压输入。

2. ADC0809应用说明(1). ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连。

ADC0809引脚图与程序c语言

ADC0809引脚图与程序c语言
A、B、C——地址线。 通道端口选择线,A为低地址,C为高地址,引脚图中为ADDA,ADDB和ADDC。其地址状态与通道对应关系见表9-1。
CLK——时钟信号。ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。通常使用频率为500KHz的时钟信号
EOC——转换结束信号。EOC=0,正在进行转换;EOC=1,转换结束。使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。
出口参数:
****************************************************************************************/
void timer0(void)interrupt 1
{
count++;
if(count==0x0A)
{
count=0x00;
D7~D0——数据输出线。为三态缓冲输出形式,可以和单片机的数据线直接相连。D0为最低位,D7为最高
OE——输出允许信号。用于控制三态输出锁存器向单片机输出转换得到的数据。OE=0,输出数据线呈高阻;OE=1,输出转换得到的数据。
Vcc—— +5V电源。
Vref——参考电源参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。其典型值为+5V(Vref(+)=+5V, Vref(-)=-5V).
图中多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个A/D转换器进行转换,这是一种经济的多路数据采集方法。地址锁存与译码电路完成对A、B、C 3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连,表9-1为通道选择表。

ADC0809功能简介

ADC0809功能简介

ADC0809 功能简介
ADC0809 是8 路8 位逐次逼近型A/D 转换CMOS 器件,在过程控制和
机床控制等应用中,能对多路模拟信号进行分时采集和A/D 转换,输出数字信号通过三态缓冲器,可直接与微处理器的数据总线相连接。

一:ADC0809 的内部结构和引脚共能
ADC0809 的内部结构原理如图10.3.1 所示,芯片的主要组成部分是一个8
位逐次比较型A/D 转换器。

为了实现8 路模拟信号的分时采集,片内设置了带有锁存功能的8 路模拟选通开关,以及相应的通道地址锁存和译码电路,可对8 路0~5V 的输入模拟电压进行分时转换,转换后的数据送入三态输出数据锁存器。

ADC0809 的主要特性如下:
(1) 辨率为8 位
(2) 最大不可调误差小于正负ULSB
(3) 可锁存三态输出,能与8 位微处理器接口
(4) 输出与TTL 兼容。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

• • • • • • • • •
ADC0809与系统有三种常见的连接方法: (1) 占用三个I/O端口: 端口1用来向0809输出模拟通道号并锁存; 端口2用于启动转换; 端口3读取转换后的数据结果。 (2) 占用二个I/O端口: 端口1输出模拟通道号并锁存,同时启动转换; 端口2读取转换后的数据结果。 (3) 通过并行接口芯片(例如8255A)连接。
ADC0809结构2
START CLK IN7
8路 模拟 开关
控制与时序 SAR 三态 输出 锁存 缓冲 器
EOC D7 数 字 量 输 出
IN0
ADDA ADDB ADDC ALE
树状开关
地址 锁存 与 译码
D0
电阻网络
VCCGND REF(+)
REF(-) OE
基准电压输入VREF(+)和VREF(-)
逐次逼近式的A/D转换器的特点
• 转换速度较快,转换时间在1~100μs以内, 分辨率可达18位,适用于高精度、高频信号 的A/D转换; • 转换时间固定,不随输入信号的大小而变化; • 抗干扰能力较双积分型弱。采样时,干扰信号会 造成较大的误差,需要采取适当的滤波措施。
2、ADC0809通道地址选择表
汽车传感器项目器件 ADC0809
1、ADC0809芯片简介
• • • • • • • • • • • 输 入: 8路(0V~5V) 线性误差:1LSB 数字输出:TTL电平,三态输出 电 源:+5V~15V 时钟频率:10KHZ-1280KHZ (典型640KHz) 转换时间:100μs 分辨率:8位 功 耗:15mW 输入电压范围:0V~VREF CMOS工艺,28Pin 输出: V IN V REF 255 NB
• 1、ADC0809内部带有输出锁存器,可以与 AT89S51单片机直接相连。 • 2、初始化时,使ST和OE信号全为低电平。 • 3、送要转换的通道的地址到A,B,C端口上。 • 4、在ST端给出一个至少有100ns宽正脉冲信号。 • 5、是否转换完毕,我们根据EOC信号来判断。 • 6、当EOC变为高电平时,这时给OE为高电平, 转换的数据就输出给单片机了。
V

REF

V

REF
0809特征
• 逐次逼近型8位A/D转换芯片; • 单极性,量程为0~5V;若信号太小,必 须进行放大;输入的模拟量在转换过程中 应该保持不变,如若模拟量变化太快,则 需在输入前增加采样保持电路。 • 片内有三态输出缓冲器,可直接与CPU总 线连接; • 有较高的性能价格比,适用于对精度和采 样速度要求不高的场合或一般的工业控制 领域。
ADDC 0 ADDB 0 ADDA 0 选通的通道 IN0
0
0 0 1
0
1 1 0
1
0 1 0
IN1
IN2 IN3 IN4
1
1 1
0
1 1
1
0 1
IN5
IN6 IN7
3、ADC0809结构1
启动(高电平脉冲,输入)
500KHz~1MHz
start clock
转换结束(=1,输出)
地址锁存, 输入信号 输出允许 (=1,输入信号)
4、ADC0809转换工作时序
1.送地址 2.启动
结束
3.结束 4.允许输出 5.得到数据
允许输出
ADC0809的工作过程
• (1)ALE信号锁存地址信号ADDA~ADDC。对应 的模拟信号进入0809 • (2) START脉冲(下跳沿)启动A/D转换 • (3) 转换完成后,转换结束信号EOC变为高电 平:
5、 AD转换电路
编程思路
• (1) 向AD0809写入通道号并启动转换 • (2) 延时1ms后等待EOC出现高电平 • (3) 给OE置高并读入转换数据存入数据 地址或数组中。 • (4) 显示、传输、控制
Proteus 的仿真问题
• 它们决定了输入模拟电压的最大值和最小值 • 对转换精度要求不高时,可以把VREF(+)接到 Vcc(+5V)电源上,VREF(-)接GND(地) • 为了避免数字脉冲信号对基准电源的干扰,可以 设置独立的VREF(+)和VREF(-),加在两个引脚 的电压必须满足以下条件: • VREF(+)+VREF(-) =Vcc,偏差值≤±0.1V • Vcc≥VREF(+)≥VREF(-) ≥0
• • • • • • 可以作为状态信号由CPU查询; 可以作为中断请求信号通知CPU。 执行输入指令(读三态缓冲器被打开, 转换结果通过数据总线进入CPU。
• (4) CPU在查询式I/O程序或中断服务程序中:
ADC0809应用说明
相关文档
最新文档