八路循环彩灯控制电路设计

合集下载

八路彩灯循环显示

八路彩灯循环显示

电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。

五种模式循环切换,复位键(CLR)控制系统的运行与停止。

二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。

方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。

LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。

三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。

四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。

在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。

八路循环彩灯电路原理图

八路循环彩灯电路原理图

工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。

再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。

74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。

显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。

若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

8路彩灯控制电路设计

8路彩灯控制电路设计

《8路彩灯控制电路设计》祥设计报告专业:_______________班级:_______________姓名: ________________学号:_______________指导教师:____________2014年6月25日1 •课程设计的目的2. 课程设计题目描述和要求3. 电路设计4. 设计过程中遇到的问题及解决办法5. 结论与体会附表参考书目1 •课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理:3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。

2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1. 接通电源,电路开始工作,LED灯闪烁:2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3. 1闪烁花型设计花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。

花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。

花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。

花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。

花型状态编码表如表3. 1. 1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。

表3. 1. 1 8路彩灯输出状态编码表3. 2设计原理3. 2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3. 2. 1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

图3. 2. 1彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭: 控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。

八路循环彩灯电路设计

八路循环彩灯电路设计

八路循环彩灯电路设计目录1引言 (1)2 系统组成及工作原理 (1)2.1 基础设计目的 (1)2.2 基础设计要求 (1)2.3 总体设计思路 (2)2.4 电路框图 (2)2.5 PCB板布线 (2)2.5.1 布局规则 (2)2.5.2 布线规则 (2)3 循环彩灯的系统组成 (3)3.1 555定时器电路 (3)3.1.1 定时器电路产生时钟脉冲 (3)3.1.2 555定时器组成的多谐振荡电路 (4)3.2 74F161A及74LS194的功能 (5)3.2.1 74F161A四位二进制同步计数器 (5)3.2.2 74LS194四位双向移位寄存器 (6)3.3 设计彩灯控制电路 (7)3.4 电路图的仿真 (7)3.5 PCB板布线与制做方案的实施 (8)3.5.1 PCB板布线 (8)3.5.2 PCB板制作 (8)4 循环电路的总体设计 (8)4.1 循环彩灯原理图 (8)4.2循环彩灯仿真图 (9)4.3 循环彩灯PCB图 (10)4.4循环彩灯装配图 (10)4.5 循环彩灯实物图 (11)5 实验结果的调试与检验 (12)5.1 调试技巧方法 (12)5.2 调试中出现的原因、故障及排除的方法 (12)6 总结与设计结论 (12)6.1 总体结果 (12)6.2 设计结论 (13)6.3 收获 (13)参考文献 (16)致谢..................................................... 错误!未定义书签。

附录表 (16)1引言随着“数字化”的浪潮席卷几乎一切领域。

由于电子产品更新的快,新产品开发速度日新月异,所以对电子自动化(EDA)的设计提出了更高的要求,促进了数字化的飞速发展。

数字电路的设计过程和方法也在不断的发展和完善。

通过这次毕业设计主要是:以Protel软件为设计平台,了解基本的数字电路知识,学习基本的集成元件的连接方法,掌握电子设计的基础知识,并且运用这些知识设计循环彩灯电路。

八路循环彩灯的设计方案

八路循环彩灯的设计方案

八路循环彩灯的设计方案-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN目录摘要 (2)第一章系统组成及工作原理 (3)总体设计思路 (3)基本原理 (3)电路框图 (3)第二章循环发光器的系统组成 (4)555定时电路产生时钟脉冲 (5)移位寄存器 (6)方案二 74LS138及192的功能 (7)第三章循环电路的总体设计 (8)74LS194组成的电路 (9)74LS138及74LS192组成的电路 (11)第四章实验结果的调试及检测 (13)调试使用的主要仪器 (15)调试技巧的方法 (15)调试中出现的故障、原因及排除方法 (15)第五章总结 (17)第六章附录 (18)附录一 (18)附录二 (18)附录三 (19)摘要本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。

整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。

本次主要为全亮全灭,及左右移动的功能。

关键词:控制、循环、555定时电路彩灯循环控制电路的设计与制作第一章系统组成及工作原理总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。

时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。

方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。

基本原理本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。

框图图1-1 设计框图第二章循环发光器的系统组成555定时电路产生时钟脉冲555集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器。

八路循环彩灯控制电路设计

八路循环彩灯控制电路设计

八路循环彩灯控制电路设计八路循环彩灯控制电路设计是一种常见的电子电路设计,用于控制多个彩灯按照一定的循环模式进行亮灭。

在本文中,我们将一步一步回答相关问题,帮助读者了解八路循环彩灯控制电路的设计原理及其实现方式。

第一部分:八路循环彩灯控制电路设计原理介绍八路循环彩灯控制电路是一种利用计时器和逻辑门等元件实现的电子电路,可以实现多个彩灯按照一定的循环模式进行亮灭。

其设计原理主要包括以下几个方面:1.计时器的应用:计时器是八路循环彩灯控制电路中的核心元件之一。

通过计时器的设置,可以控制彩灯的亮灭时间,并实现循环模式。

常见的计时器有555定时器、NE555定时器等。

2.逻辑门的应用:逻辑门是八路循环彩灯控制电路中的另一个重要元件。

逻辑门用于判断彩灯亮灭的逻辑关系,并通过逻辑门的输出来控制彩灯的状态。

常见的逻辑门有与门、或门、非门等。

3.多路控制信号的生成:八路循环彩灯控制电路需要产生多路控制信号,用于控制多个彩灯的亮灭。

这些控制信号可以通过组合逻辑电路、编码器等实现。

第二部分:八路循环彩灯控制电路设计步骤在了解了八路循环彩灯控制电路的设计原理后,我们可以按照以下步骤进行具体的电路设计:1.确定彩灯的数量:首先需要确定需要控制的彩灯数量,以便选择合适的计时器和逻辑门。

2.选择计时器:根据彩灯的控制需求和电路设计的复杂度,选择合适的计时器。

在本设计中,我们选择使用555定时器。

3.设计计时器电路:根据彩灯的亮灭时间和循环模式要求,设计计时器电路。

通过调整计时器的参数,如电容、电阻值,可以控制彩灯的亮灭时间。

4.生成控制信号:根据彩灯的数量,设计多路控制信号的生成电路。

可以使用组合逻辑电路、编码器等进行设计。

5.选择逻辑门:根据彩灯的亮灭逻辑关系,选择合适的逻辑门。

在本设计中,我们选择使用与门。

6.设计逻辑门电路:根据彩灯的亮灭逻辑关系,设计逻辑门电路。

通过逻辑门的输出,控制彩灯的状态。

7.完成电路布局和连线:根据电路设计图,完成电路的布局和连线。

8路输出的彩灯循环控制电路数电说明书(内附电路图)

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。

随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想,还是视觉,人们都在追求更高的美。

特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。

本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。

由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。

编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。

(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。

2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。

3、提高电子电路实验技能及Multisim10仿真软件的使用能力。

8路彩灯设计电路

8路彩灯设计电路

一、概述多功能流水灯因其具有高效节能、美观耐用等特点,而被广泛应用于节日、广告装饰,同时也为家居、庭院、休闲广场等装饰增添了不少色彩。

要求运用所学的数字逻辑知识设计一个8路彩灯控制电路。

8路彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后全部熄灭,反复循环这一过程。

理论部分已用Multisim软件进行仿真,完全符合要求。

二、方案论证设计一个8路彩灯控制电路,利用8进制计数法器依次输出一个低电平信号,每个信号对应一盏彩灯,控制每盏灯依次点亮然后灭掉,以此循环,做成一组流水灯。

方案一:方案一原理框图如图1所示。

其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。

图1 8路彩灯电路的原理框图方案二:基本实际思路不变,采用74LS160芯片,但是其输出信号由两片74LS138芯片进行控制,其中一片进行3-8进制译码,另一片控制循环。

本设计采用方案一,只用两片主要芯片74LS161和74LS138,节省资源。

三、电路设计1.多谐振荡器电路采用555定时器,输出一个周期的脉冲。

由多谐振荡器的周期公式T=(R1+2*R2)*Ln2*C可知。

要求灯亮的时间为100ms-120ms,所以输出脉冲的周期也为100ms-120ms之间。

本实验选取的6欧的R1,5欧的R2,10uF的电容,所以输出周期为111.7ms的脉冲。

多谐振荡器电路图如图2。

图2 多谐振荡器示意图对于多谐振荡器调试情况如图3。

图3 多谐振荡器电路输出信号调试图2.8进制计数电路本设计采用74LS16110进制计数器,输出端口为QA,QB,QC,QD(QD为高位)。

产生0000至1111十六个信号,由于实验内容要求8盏流水灯点亮与熄灭,所以只需利用0000到0111八个信号即可,由于74LS161芯片是异步置数,所以在输出信号为1000时利用反向器74LS04把QD端口的信号反馈到LD`端,把初始信号重新置为0000,完成八进制循环输出。

8路循环彩灯设计控制电路

8路循环彩灯设计控制电路

数字电子技术课程综合设计题目:8路循环彩灯控制的电路姓名:学号:层次:专业:班级:指导教师:2021年5月独创性申明一.本论文是本人独立完成;二.本论文没有任何抄袭行为;三.若有不实,一经查出,请答辩委员会取消本人答辩资格。

摘要:设计一个8路循环彩灯电路,要求该电路能够实现8路彩灯能够依次点亮,然后在一起点亮,且每次不同的点亮状态要持续两秒,且能够循环上诉的效果。

该电路主要是由555定时器与一些分立元器件构成多谐振荡器,74LS163同步计数器,74LS138译码器,LED等组成的,由多谐振荡器提供周期为一秒的脉冲方波信号,然后经过74LS163同步计数器进行二分频,使其周期变为两秒,在经过74ls138译码器的译码,从而完成8路彩灯循环电路设计的要求,电路在经过ISIS的仿真测试能够有效的依次点亮8路彩灯,最后在全部点亮的功能,从而完成课设要求。

关键词:多谐振荡器;74LS163同步计数器;74LS138译码器;Abstract:Design an8-way cycle lamp circuit,the circuit is required to achieve8-way lights can be lit in turn,and then lit together,and each time the different lighting state to last two seconds,and can cycle the effect of appeal.The circuit is mainly composed of555 timer and some discrete components,such as multivibrator,74ls163synchronous counter, 74LS138decoder,led,etc.the multivibrator provides a pulse square wave signal with a period of one second,and then the74ls163synchronous counter bisects the frequency to make its period become two seconds,In order to complete the design requirements of 8-way color lamp cycle circuit,the circuit can effectively light up8-way color lamp in turn after Isis simulation test,and finally in the full lighting function,so as to complete the course design requirements.目录前言1电路整体方案设计 (2)1.1电路设计要求 (4)1.2电路设计方案 (4)1.3出现的问题及解决方案 (5)2电路结构分析 (5)2.1多谐振荡器 (5)2.2计数器 (6)2.3译码器 (5)2.4电路仿真图 (5)3结论 (9)参考文献 (10)致谢 (8)前言本课题主要研究的是如何实现8路彩灯依次点亮,最后在全部点亮的循环过程,要实现课设的基本功能需要了解掌握计数器,译码器的等芯片功能;是要选取555定时器和一些分立元器件组成的多谐振荡器,73LS163同步计数器,74LS138译码器的功能及应用。

8路彩灯控制电路设计

8路彩灯控制电路设计

附件:
附表 3.1.2 74LS194N 的特性表
3.3 设计方案
(1)编码器的设计。分析表 3.1.1,实现花型Ⅰ时前四位 Q0 Q1 Q2 Q3 为左移 环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律;实现花型Ⅱ 时前四位 Q0 Q1 Q2 Q3 为左移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为右移环形 计数器的规律;实现花型Ⅲ时前四位 Q0 Q1 Q2 Q3 为右移环形计数器的规律,后 四位 Q4 Q5 Q6 Q7 为右移环形计数器的规律;实现花型Ⅳ时前四位 Q0 Q1 Q2 Q3 为 右移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律。
9 页脚内容
片的 S1 与它们是异或的逻辑关系。这样在电路中加上了一个 D 触发器和一个异 或门就可解决上述 问题。
然后遇到的就是接到电路中的触发器没有实现预想的功能,通过示波器观察 发现经由触发器输出的波形并没有变化。第一次加入触发器时并没有直接使用 D 触发器而是使用的是 JK 触发器 J、K 端悬空实现 D 触发器的计数功能。但是经过 实际连接发现了 J、K 端悬空时并没有实现 D 触发器的功能。然后经过多次摸索 发现书中说的悬空是指什么都不接那样就表示接高电平,而在这个软件中悬空的 话是要直接接一个高电平的,不然实现不了预想的功能。经过不断的改进本设计 最终选用了一个 D 触发器,实现预想的功能。
在设计时不断地考虑芯片各个端口的功能,产生的进位,输出的信号,以及 现有的逻辑关系与所需的逻辑关系。综合考虑设计电路,虽然有的问题可能思考 很久依旧没有结果,这时查找资料询问同学则是很好的选择。
看着自己在纸上画的波形图,写的逻辑表达式以及仿真的结果顿时有了很大 的成就感,三天的时间很短设计时间很仓促,答辩完成后再看看自己的设计图发 现还是有很大的修改空间。更换不同的端口界线就实现了其他不同的花型变换, 其中的门电路可以使用其他的一个或两个芯片来代替,这样整个电路就会显得更 加清晰明了。

八彩灯电路循环课程设计

八彩灯电路循环课程设计

目录一、课程设计的题目与要求 (3)课程设计题目要求 (3)二、所需实验器材 (3)所需实验器材 (3)三、题目分析与原理设计 (3)1、总体思路 (3)2、各个模块的实现 (4)四、各个模块的制作 (5)1、函数信号发生器 (5)2、计数器 (7)3、5-32 译码器 (7)4、总体电路图的实现 (9)五、心得体会 (10)六、参考文献 (11)一、课程设计的题目与要求课程设计题目:彩灯循环显示控制电路设计题目要求:1.有八只LED:L1…L82.显示方式:①由L1到L8依次点亮;②先奇数灯依次点亮,再偶数灯依次点亮,循环四次,显示间隔200ms;二、所需实验器材三、题目分析与原理设计1、总体思路按课程设计题目来看,要求实现彩灯的2 种状态:1.由L1到L8依次点亮;2.先奇数灯依次点亮,再偶数灯依次点亮,循环四次;我们可以将电路分成两个部分,即:由L1到L8依次点亮一种状态,先奇数灯依次点亮,再偶数灯依次点亮,循环四次又一种状态,所以在开始接一个开关即可,然后将震荡电路过来的脉冲通过计数器的计数到译码器,译成可以实现的高低电平再通过门电路的链接便可实现题目要求的彩灯控制。

由上分析可知需要计数器,译码器,然后在由门电路连接LED 灯。

总体设计思路图如下:2、各个模块的实现(1)函数信号发生器:函数信号发生器是由555定时器构成的多谐振荡器,555 集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器(2)计数器:计数器是由74LVC161构成,它是一种高性能,低功耗CMOS4位同步二进制加计数器,它的内部所有逻辑输入端都可内受高达5.5V的电压,因此,在电源电压为3.3V时,可直接接5V供电的TTL逻辑电路接口,它的工作速度快,对整体电路延迟很小。

(3)译码器74LS138是3线—8线译码器,在这个电路的设计过程中,我将用5线—32线译码器,怎样才能用3线—8线实现5线—32线的功能,这是在设计电路当中的一个重点,为了实现现5线—32线,我们用到5个74LS138的 3线—8线译码器,由它构成5线—32线译码器,在我们学的课本里会找到。

8路彩灯控制电路设计

8路彩灯控制电路设计

页眉内容《8路彩灯控制电路设计》课程设计报告2015年1月8日目录1.课程设计目的 (1)2.课程设计题目描述和要求 (1)8.附件 (8)1.课程设计目的1.1进一步掌握数字电路课程所学的理论知识并应用于实际中。

1.2了解数字电路设计的基本思想和方法。

1.3掌握电路板的焊接技术,锻炼实际动手操作能力。

2.课程设计题目描述和要求2.集成双向移位寄存器74LS194的逻辑符号与功能表4.1 时钟信号电路将555定时器的TH和TR两个输入端连在一起作为信号输入端,即得到施密特触发器。

然后将555定时器的DC端经过RC积分电路接回输入端就构成多谐振荡器。

电路的震荡周期为T=(R1+2R2)Cln2.仿真电路周期为T=(47000+2*47000)*4.7*0.00001*ln2=4.5935.仿真电路图中时钟信号电路如下:向移位寄存器74LS194的MR处于高电平,S0,S1的状态决定它的工作状态,其4个信号输出端Q0-Q3分别连接D1-D4、D5-D8八个发光二极管,输出信号可以控制发光二极管的亮灭,从而实现花型变换。

第一次花型变换:第二个74LS161的Q2、Q3输出端处在零状态时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。

然后74LS161触发8次清零一次,第一次花型变换完成。

第二次花型变换:74LS161的Q2=1、Q3=0时,第一个74LS194的S0=0、S1=1,处在左移的工作状态中,红灯依次由D4亮到D1;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。

然后清零,第二次花型变换完成。

第三次花型变换:74LS161的Q2=0、Q3=1时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=1,5.2 电路仿真结果分析该电路图在proteus软件中仿真成功,可以实行四种花型变换:八个红灯从D1和D8开始同时对称的向中间依次点亮,然后全部熄灭;八个红灯从D4和D8开始同时向上面依次点亮,然后全部熄灭;八个红灯从D1和D5开始同时向下面依次点亮,然后全部熄灭;八个红灯从D4和D5开始同时对称的向两边点亮,然后熄灭。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。

本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。

本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。

2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。

系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。

•支持闪烁效果的设置和控制。

•可以通过串口或无线通信进行远程控制。

3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。

•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。

•电源模块:用于为Arduino和彩灯模块提供电源。

3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。

•将彩灯模块的电源引脚连接到电源模块的输出端。

•将Arduino的GND引脚与电源模块的GND引脚相连。

4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。

2.进入主循环,等待指令。

3.接收指令并解析,根据指令类型执行相应操作。

4.执行完毕后返回主循环。

4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。

•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。

•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。

4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。

八路流水灯循环点亮

八路流水灯循环点亮

黄山学院专业姓名班级学号指导老师八路循环彩灯控制电路一、 设计要求(1)设计一组8路循环彩灯控制电路,发光二极管的亮度要明显可见。

(2)、点亮要有一定的规律,即按顺序点亮。

(3)、元件、芯片的摆放要合理。

(4)、布线要紧密、尽量短(5)用protuse 仿真,1 题目分析:八路彩灯循环点亮电路功能描述:八只彩灯按顺序轮流点亮,首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后又点亮第一盏灯,反复循环这一过程。

2 整体构思:彩灯的控制是用数字集成电路的构成来实现的,用彩灯(LED 发光二极管)构成一个发光矩阵。

主要用计数器和译码器等来实现,其特点是用发光二极管显示,构成具有循环功能的彩灯控制电路。

将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮。

用框图表示如下。

3 具体实现: (1)、根据题目要求,找到可能完成此功能的芯片。

一共有八只灯所以要用74LS138 3线-8线译码器74LS161十进制上升沿计数器。

(2)、确定芯片后,完成电路图。

(3)、根据题目要求,要实现八只灯按顺序点亮电路就要用到74LS138 3线-8线译码器和74LS161具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。

以及发光二极管、电阻、导线、电平开关和万用版。

(4)、按照电路图连接线路,检查是否连接正确 。

(5)、检查功能实现,如果不能进行调试、修改。

二、单元电路设计参考1、振荡器设计:用集成555电路构成多谐振荡器。

电路如下图所示。

振荡器计数器 译码器 8路彩灯 → → →U 0的周期: 2、计数器的选用:选四位二进制同步加/减计数器74LS161。

其引脚功能图如下所示。

其真值表如下:)C 2R 0.7(R T 21+=三、设计原理电路四、材料清单:五、protuse仿真部分截图图:六、心得体会:实验之前必须认真阅读有关方面的知识,在心中要有一个大概的模型或过程。

8路LED彩灯控制电路 设计报告

8路LED彩灯控制电路 设计报告

《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2011年 1 月 8 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。

本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。

而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。

二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。

三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。

3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。

脉冲周期T=(R1+R2)Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。

八路循环彩灯控制电路设计

八路循环彩灯控制电路设计

课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。

计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。

在时钟禁止输入为高电平时,时钟被禁止。

复位输入为高电平时,时钟输入独立运行。

该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。

由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。

引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。

当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。

八路循环彩灯控制电路设计

八路循环彩灯控制电路设计

八路循环彩灯控制电路设计项目项目名称:八路循环彩灯控制电路设计指导老师:姓名:学号:班级:课题名称:8路循环彩灯控制电路1)设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。

2)设计方案将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮a用框冬衣?5如下af振荡器| 一 |计数器|二译码器|〜氏路彩灯f3)单元电路设计参考1、振荡器设计用集成555电路构成多谐振荡器。

电路如下图所示。

若选用四位二进制计数器,要达到设计要求,振荡周期T应为比c COQ 3 CTr LD 1秒。

7 = 0・7(尺十2毘疋若取R 尸R 2 =R ,则T^2RCo当取OlOOnF 时,R T/ (20 =0.5/C=5K Q一般电路中,取Ci=0.01uF5)计数器的选用选四位二进制计数器74LS161.其引脚功能如下图 所示°ii51Ji4l [13! [121 [l lHlO' £9174LS161/CC40161AJUJ L3J L4J [5J 16] L7J L3JCR CP D o Di 02 03 CT P GNDQ o -Q 2作输岀,Qs 不用。

使输岀数据的频率为输入 时钟频率的二分频,周期为2秒。

6)译码器的选用选四位二进制计数器74LS161.其引脚功能如下图所示。

比c CO Q Q Q I Q2 Q3 CTr LD|?6! [151 114| |13! fT5LFH] [10] TL74LS161/CC40161L1JL2JL3JL4JL^L^L7JL8JCR CP D o D1 D2 D3 CTp GNDQo〜作输出,Qs不用。

使输出数据的频率为输入时钟频率的二分频,周期为2秒。

7) 彩灯的选用这里选用发光二极管做彩灯。

由于电源电压为5V,每次只亮一路灯,限流电阻R3= (UCC-VD) /ID,当R3取470时,ID约为7mA。

8路彩灯控制电路设计

8路彩灯控制电路设计

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:指导教师:2014年 6 月25 日目录1.课程设计的目的 (1)2.课程设计题目描述和要求 (1)3.电路设计 (1)4.设计过程中遇到的问题及解决办法 (7)5.结论与体会 (8)附表参考书目 (9)1. 课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理;3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。

2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3.1闪烁花型设计花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。

花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。

花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。

花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。

花型状态编码表如表3.1.1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。

表3.1.1 8路彩灯输出状态编码表3.2设计原理3.2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

图3.2.1 彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭;控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。

3.2.2器件的选择74LS194N·························································2片74LS161N·························································2片74LS04D ·························································6片74LS76D ·························································1片时钟电压源·······················································1个VCC(5V)·························································3个3.3设计方案(1)编码器的设计。

八路彩灯循环显示控制电路课程设计

八路彩灯循环显示控制电路课程设计

说明书目录1前言 (1)1.1序言 (1)1.2目前彩灯的应用情况 (1)1.3主要工作概述 (2)2 总体方案设计 (3)2.1方案比较 (3)2.2方案论证 (4)2.3方案选择 (4)3.单元模块电路设计 (5)3.1时钟信号发生器 (5)3.2 序列信号发生器 (7)3.3 移位输出显示电路 (13)4软件设计 (16)4.1Proteus仿真软件 (16)4.2 Altium designer软件 (16)4.3软件的设计结构 (18)5系统调试 (19)5.1脉冲信号发生器的调试 (19)5.2序列信号发生器和以为输出显示电路调试 (20)5.3整体电路的调试 (20)5.4系统实现的功能 (21)6设计总结与收获 (22)7 参考文献 (24)附录:彩灯显示控制电路原理图 (25)说明书1前言1.1序言由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。

在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。

因此用集成电路来实现更多更复杂的器件功能则成为必然。

随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。

在大型晚会的现场,彩灯更是成为不可缺少的一道景观。

小型的彩灯多为采用霓虹灯电路则不能胜任。

在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。

这些灯的控制设备多为数字电路。

而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。

长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。

流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

八路循环彩灯控制电路设计





项目名称:八路循环彩灯控制电路设计
指导老师:
姓名:
学号:
班级:
课题名称:8路循环彩灯控制电路
1)设计要求
设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。

2)设计方案
3)单元电路设计参考
1、振荡器设计
用集成555电路构成多谐振荡器。

电路如下图所示。

4)电路元件参数计算
若选用四位二进制计数器,要达到设计要求,振荡周期T应为1秒。

5)计数器的选用
6)译码器的选用
7)彩灯的选用
这里选用发光二极管做彩灯。

由于电源电压为5V,每次只亮一路灯,限流电阻R3=(UCC-VD)/ID,当R3取470时, ID约为7mA。

所以发光二极管选用LED的参数为:ID=10mA,
VD=2V,PM=100mW.
8)设计原理电路。

相关文档
最新文档