Eclipse中JAVA中文教程

Eclipse中JAVA中文教程
Eclipse中JAVA中文教程

来源:网上

PDF制作:https://www.360docs.net/doc/3c3024309.html,

Eclipse – 整合开发工具

基础篇

Jacky Lee 2005/03/01

目录

0.环境说明 (8)

1.Eclipse简介 (9)

1.1历史背景 (9)

1.2开发原始码软件 (10)

1.3 Eclipse版本介绍 (10)

1.4跨语言、跨平台 (11)

2. Eclipse Platform (13)

2.1概观 (13)

2.2架构 (13)

2.3项目与资料夹 (14)

2.4平台核心 (14)

2.5工作区(workspace) (15)

2.6工作台(workbench) (15)

2.6.1视图(View) (16)

2.6.2编辑器(Editor) (19)

2.6.3视景(Perspective) (22)

2.7重新排列视图和编辑器 (23)

2.7.1放置游标 (23)

2.7.2重新排列视图 (24)

2.7.3并列编辑器 (25)

2.7.4重新排列附加标签的视图 (26)

2.7.5最大化 (27)

2.8菜单和工具列 (28)

2.8.1菜单 (29)

2.8.2图标和按钮 (44)

2.9视景 (49)

2.9.1新视景 (49)

2.9.2新窗口 (51)

2.9.3储存视景 (52)

2.9.4配置视景 (54)

2.10作业和标记 (55)

2.10.1不相关的作业 (56)

2.10.2相关的作业 (56)

2.10.3开启档案 (58)

2.11书签 (58)

2.11.1新增和检视书签 (59)

2.11.2使用书签 (61)

2.11.3移除书签 (61)

2.12快速视图(Fast View) (63)

2.12.1建立快速视图 (63)

2.12.2使用快速视图 (64)

2.13比较 (65)

2.13.1简单比较 (66)

2.13.2了解比较 (67)

2.13.3使用比较 (69)

2.14历史纪录 (71)

2.15回应 UI (73)

3.喜好设定(Preferences) (76)

3.1工作台(Workbench) (77)

3.1.1外观(Appearance) (79)

3.1.2功能(Capabilities) (80)

3.1.3颜色和字型(Colors and Fonts) (82)

3.1.4比较/修正(Compare/Patch) (83)

3.1.5编辑器(Editors) (86)

3.1.6档案关联(File Associations) (87)

3.1.7按键(Keys) (90)

3.1.8标签装饰(Label Decorations) (99)

3.1.9链接资源(Linked Resources) (99)

3.1.10历史纪录(Local History) (101)

3.1.11视景 (102)

3.1.12搜寻(Search) (104)

3.1.13启动和关闭(Startup and Shutdown) (105)

3.2 Ant (107)

3.2.1 Ant 编辑器(Ant Editor) (107)

3.2.2 Ant 执行时期(Ant Runtime) (109)

3.3建置次序(Build Order) (112)

3.4说明(Help) (113)

3.4.1说明服务器(Help Server) (115)

3.5自动更新(Install/Update) (116)

3.6 Java (117)

3.6.1外观(Appearance) (118)

3.6.2类别路径变量(Classpath variables) (119)

3.6.3程序代码格式制作器(Code Formatter) (120)

3.6.4程序代码产生(Code generation) (122)

3.6.5编译器(Compiler) (124)

3.6.6 Java 编辑器(Java editor) (131)

3.6.7 JRE 安装(JRE installations) (139)

3.6.8 JUnit (140)

3.6.9新专案(New project) (141)

3.6.10组织汇入(Organize imports) (141)

3.6.11「重构」喜好设定(Refactoring preferences) (142)

3.6.12作业标示(Task Tags) (143)

3.7团队(Team) (144)

3.7.1 CVS (145)

3.7.2忽略的资源(Ignored Resources) (150)

3.7.3档案内容(File Content) (151)

4. Java程序开发 (152)

4.1建立Java项目 (152)

4.2建立Java类别 (154)

4.3程序代码完成功能 (156)

4.3.1 Code Completion (156)

4.3.2 Code Assist (156)

4.4执行Java程序 (158)

4.5 Java实时运算簿页面(Java Scrapbook Page) (160)

4.6自订开发环境 (167)

4.6.1程序代码格式 (167)

4.6.2程序代码产生模板 (169)

4.6.3 Javadoc批注 (171)

4.7产生 getter 与 setter (176)

4.8建立 JAR 档案 (177)

4.8.1建立新的 JAR 档案 (177)

4.8.2设定进阶选项 (179)

4.8.3定义 JAR 檔的 manifest (180)

4.8.4重新产生 JAR 檔 (183)

4.9.建立 Javadoc 文件 (185)

4.9.1选取产生 Javadoc 用的类型 (185)

4.9.2为标准 doclet 配置 Javadoc 自变量 (186)

4.9.3配置 Javadoc 自变量 (187)

4.10工作集(Working Sets) (188)

4.10.1新增工作集 (189)

4.10.2隐藏「导览器」视图中的档案 (191)

4.10.3显示「导览器」视图中的档案 (192)

5.除错 (194)

5.1错误的程序 (194)

5.2设定岔断点(Breakpoints) (195)

5.3逐步除错 (200)

5.3.1 Step Into (200)

5.3.2 Step Over (201)

5.3.3 Step Return (201)

5.3.4 Drop to Frame (201)

5.3.5 Use Step Filters/Step Debug (201)

5.4继续执行 (203)

5.5设定岔断点的Hit Count (205)

5.6岔断点组态设定 (212)

5.7监视点(Watchpoint) (214)

5.8方法岔断断点(Method Breakpoint) (217)

5.9异常岔断点(Exception Breakpoint) (220)

5.10 Java表示式及变更某些值 (222)

6.重构(Refactoring) (225)

6.1重新命名 (225)

6.1.1区域变量(Local Variable) (225)

6.1.2字段(Field) (227)

6.1.3方法(Method) (229)

6.1.4类别(Class)或是接口(Interface) (231)

6.1.5套件(Package) (233)

6.2撷取(Extracting) (235)

6.2.1撷取常数(Extracting a Constant) (235)

6.2.2撷取区域变量(Extracting a Local Variable) (239)

6.2.3撷取方法(Extracting a Method) (242)

6.3列入(Inlining) (247)

6.3.1列入常数(Inlining a Constant) (248)

6.3.2列入区域变量(Inlining a Local Variable) (250)

6.3.3列入方法(Inlining a Method) (252)

6.4变更方法签章(Signature) (255)

6.5移动Java元素(Moving Java Elements) (258)

6.5.1字段(Field) (259)

6.5.2 Static Members (260)

6.6自行封装字段(Self Encapsulating a Field) (263)

7.要诀和技巧(Tips and Tricks) (267)

7.1编辑程序文件(Editing Source) (267)

7.2搜寻(Searching) (272)

7.3程序代码导览和读取(Code navigation and reading) (274)

7.4 Java视图(Java views) (278)

7.5除错(Debugging) (280)

7.6各种(Various) (283)

0.环境说明

操作系统

Microsoft Windows XP Professional

Service Pack 2

Eclipse 版本

Version:Eclipse 3.0.1 SDK (Release)

Build id:200409161125

File Name:eclipse-SDK-3.0.1-win32.zip

参考数据

Eclipse's Help

O'REILLY Eclipse整合开发工具

博硕文化 Eclipse实作手册-活用Java整合开发环境

1.Eclipse简介

Eclipse就像软件开发者的『打铁铺』,它一开始备有火炉、铁钻与铁锤。就像铁匠会用现有的工具打造新的工具,也能用Eclipse打造新工具来开发软件-这些新工具可扩充Eclipse的功能。(Eclipse 其中一个卖点就是它的扩充性)

1.1历史背景

Eclipse这样功能完整且成熟的开发环境,是由蓝色巨人IBM所释出。IBM花了4千万美金来开发这个IDE(Integrated Development Environment)。第一版1.0在2001年11月释出,随后逐渐受到欢迎。

Eclipse已经成为开放原始码计划(Open Source Project),大部分的开发扔然掌握在IBM手中,但是有一部份由https://www.360docs.net/doc/3c3024309.html,的软件联盟主导。(https://www.360docs.net/doc/3c3024309.html,)

Eclipse项目由Project Management Committee(PMC)所管理,它综观项目全局,Eclipse项目分成3个子项目:

z平台-Platform

z开发工具箱-Java Development Toolkit(JDT)

z外挂开发环境-Plug-in Development Environment(PDE)

这些子项目又细分成更多子项目。例如Platform子项目包含数各组件,如Compare、Help与Search。JDT子项目包括三各组件:User Interface(UI)、核心(Core)及除错(Debug)。PDE子项目包含两各组件:UI与Core。

1.2开发原始码软件

Eclipse是开放原始码,结果很多人在使用的时候都不注重合法权的问题。开放原始码软件让使用者能够取得软件的原始码,有权去修改和散布这个软件。如果想修改软件,这件事的另一面就是,除非其它人对修改后的软件也有相同的权力,否则是不能散布修改后的软件,这种权利和著作权(copyright)相反,开放原始码项目中有时称之为著作义(copyleft)。

有些开放原始码许可书,坚持要求任何和其它开发原始码合组成的软件也必须是开放原始码。然而,Eclipse使用的开放原始码许可书:公共公众许可书-Common Public License(CPL)作为授权方式,设计上是可以容许商业利益的。CPL可以容许Eclipse和其它开放原始码软件合组时,能够以更严谨的许可书散布软件,以求用于商业途径。CPL经过Open Software Initiative(OSI)认证,其内容符合开放原始码授权的需求。

1.3 Eclipse版本介绍

可以从https://www.360docs.net/doc/3c3024309.html,网站(https://www.360docs.net/doc/3c3024309.html,/downloads)下载,可以发现『最新』与『最好』的版本,这两种版本通常不一样,基本上有四种版本-或建置(build)可供下载:

z释出版(Release builds)

由Eclipse开发团队所宣称的主要稳定版本。Release builds

经过完整测试,并具有一致性、定义清楚的功能。它的定位就

跟上市的商业软件一样。

z稳定版(Stable builds)

比Release build新一级的版本,经由Eclipse开发团队测试,

并认定它相当稳定。新功能通常会在此过渡版本出现。它的定

位就跟商业软件的beta版一样。

z整合版(Integration builds)

此版本的各个独立的组件已经过Eclipse开发团队认定具稳

定度,但不保证兜在一起没问题。若兜在一起够稳定,它就有

可能晋级成Stable build。

z当日最新版(Nightly builds)

此版本显然是从最新的原始码产生出来的。可想而知,此版本

当然不保证它跑起来没问题,搞不好还有严重的bug。

1.4跨语言、跨平台

多数人认为Eclipse是Java IDE,不过,当下载Eclipse之后,除了有Java IDE(就是JDT),还有PDE。然而Eclipse是万用工具平台。JDT实际上是Eclipse的添加品,也就是外挂程序。Eclipse本身实际上是指Eclipse平台(Eclipse Platform),除了下载时能取得Java工具集以外,还提供各种工具的支持,所以平台本身只是相当小的ㄧ组软件。

如果想开发Java程序,用的是Eclipse随附的JDT外挂程序。如果想开发其它语言的程序,就需要拿到其它外挂程序,诸如CDT(C Development Toolkit)就可以开发C/C++程序。

Eclipse跨计算机语言,也跨人类的语言。相同的外挂机制可用来增加对不同语言的支持,这里使用一种特殊的外挂,叫做外挂程序片断(plug-in fragment)。IBM以捐出一个语言套件,支持中文(繁体与简体)、法文、德文、意大利文、日文、韩文、葡萄牙文(巴西)与西班牙文。

照理说Eclipse以Java写成,应该可以在任何的平台执行。但严

格来说Eclipse不是跨平台的,因为它使用作业平台的原生图形来建置。因此要等SWT(Standard Widget Toolkit)移植到该平台,Eclipse 才能在那个平台执行。但就现实而言到不是什么大问题,因为SWT已经被移植到数个常见平台上了,包括Windows、Linux/Motif、

Linux/GTK2、Solaris、QNX、AIX、HP-UX与Mac OS X。

2. Eclipse Platform

Eclipse平台的目的,是提供多种软件开发工具的整合机制,这些工具会实作成Eclipse外挂程序,平台必须用外挂程序加以扩充才有用处。Eclipse设计美妙之处,在于所有东西都是外挂,除了底层的核心以外。这种外挂设计让Eclipse具备强大扩充性,但更重要的是,此平台提供一个定义明确的机制,让各种外挂程序共通合作(透过延伸点extension points)与贡献(contributions)),因此新功能可以轻易且无缝地加入平台。

2.1概观

第一次执行Eclipse时,会在Eclipse目录下建一个workspace

的目录,根据预设,所有的工作都会存在此目录。若要备份工作目录,只要备份这个目录就行了。若要升级至新版的Eclipse,只要将这个目录拷贝过去即可。

用新版时得看看release notes,确保它支持前一版的workspace;若不支持,只要将旧的workspace子目录拷贝到新的Eclipse目录下即可。所有的喜好设定都会保留。

2.2架构

Eclipse平台由数种组件组成:平台核心(platform kernel)、工作台(workbench)、工作区(workspace)、团队组件(tram component)以及说明组件(help)。

图2.0

2.3项目与资料夹

若想要手动操作档案、拷贝或看档案大小,就得知道档案放哪里。但原生档案系统会随操作系统而变,这对在各个操作系统均需运作一致的程序会发生问题。为了解决此问题,Eclipse在档案系统之上提供了一个抽象层级。换句话说,它不使用内含档案的阶层式目录/子目录结构,反之,Eclipse在最高层级使用『项目』,并在项目之下使用数据夹。

根据预设,『项目』对应到workspace目录下的子目录,而『数据夹』对应到项目目录下的子目录。在Eclipse项目内的所有东西均是以独立与平台无关的方式存在。

2.4平台核心

核心的任务是让每样东西动起来,并加载所需之外挂程序。当启动Eclipse时,先执行的就是这个组件,再由这个组件加载其它外挂程序。

2.5工作区(workspace)

工作区负责管理使用者的资源,这些资源会被组织成一个(或多个)项目,摆在最上层。每个项目对应到Eclipse工作区目录下的ㄧ个子目录。每个项目可包含多个档案和数据夹;通常每个数据夹对应到一个在项目目录下的子目录,但数据夹也可连到档案系统中的任意目录。

每个工作区维护一个低阶的历史纪录,记录每个资源的改变。如此便可以立刻复原改变,回到前一个储存的状态,可能是前一天或是几天前,取决于使用者对历史纪录的设定。此历史纪录可将资源丧失的风险减到最少。

工作区也负责通知相关工具有关工作区资源的改变。工具可为项目标记一个项目性质(project nature),譬如标记为一个“Java项目”,并可在必要时提供配置项目资源的程序代码。

2.6工作台(workbench)

Eclipse工作台(workbench)就如图2.1的画面,这是操作Eclipse 时会碰到的基本图型接口,工作台是Eclipse之中仅次于平台核心最基本的组件,启动Eclipse后出现的主要窗口就是这个,workbench 的工作很简单:让操作专案。它不懂得如何编辑、执行、除错,它只懂得如何找到项目与资源(如档案与数据夹)。若有它不能做的工作,它就丢给其它组件,例如JDT。

图2.1

工作台看起来像是操作系统内建的应用程序,可以说是Eclipse 的特点,同时也是争议点。工作台本身可以说是Eclipse的图形操作接口,它是用Eclipse自己的标准图形工具箱(Standard Widget Toolkit-SWT)和JFace(建立在SWT之上)的架构。SWT会使用操作系统的图形支持技术,使得程序的外观感觉(look-and-feel)随操作系统而定。这一点和过去多数Java程序的做法很不同,即使是用Swing,也没有这样过。

2.6.1视图(View)

工作台会有许多不同种类的内部窗口,称之为视图(view),以及一个特别的窗口-编辑器(editor)。之所以称为视图,是因为这些是窗口以不同的视野来看整各项目,例如图2.1,Outline的视图可以看项

目中Java类别的概略状况,而Navigator的视图可以导览整各项目。

视图支持编辑器,且可提供工作台中之信息的替代呈现或导览方式。比方说:「书签」视图会显示工作台中的所有书签且会附带书签所关联的文件名称。「Navigator」视图会显示项目和其它资源。在已附加卷标的笔记本中,视图可独自呈现,也可以与其它视图形成堆栈。

图2.2

如果要启动在附加卷标的笔记本中的视图,只要按一下标签就行了。工作台会提供了许多又快又简单的方式供配置环境,其中包括卷标在笔记本的底端或顶端。

图2.3

视图有两个菜单,第一个是用鼠标右键按一下视图卷标来存取的菜单,它可以利用类似工作台窗口相关菜单的相同方式来操作视图。

图2.4

第二个菜单称为「视图下拉菜单」,存取方式是按一下向下箭头。视图下拉菜单所包含的作业通常会套用到视图的全部内容,而不是套用到视图中所显示的特定项目。排序和过滤作业通常可在检视下拉菜单中找到。

图2.5

自订工作台是使用「Window」→「Reset Perspective」菜单作业的好时机。重设作业会将布置还原成程序状态。

可以从「Window」→「Show View」菜单中选取一个视图来显示它。视景决定了哪些视图是必要的,它会将这些视图显示在「Show View」子菜单中。选择「Show View」子菜单底端的「Other...」时,就可以使用其它的视图。这只是可用来建立自订工作环境的许多功能之一。

图2.6

2.6.2编辑器(Editor)

编辑器是很特殊的窗口,会出现在工作台的中央。当打开文件、程序代码或其它资源时,Eclipse会选择最适当的编辑器打开文件。若是纯文字文件,Eclipse就用内建的文字编辑器打开(例如图2.7);若是Java程序代码,就用JDT的Java编辑器打开(例如图2.8);若是Word文件,就用Word打开(例如图2.9)。此Word窗口会利用Object Linking and Embedding-OLE,内嵌在Eclipse中。

图2.7

图2.8

eclipse官方使用教程翻译

Eclipse 官方教程 Lars vogel Version 2.3 Copyright ? 2007 - 2011 Lars Vogel 11.11.2011 Eclipse java ide 本教程java ide eclipse的用法,涉及到eclipse的安装,java程序的创建和使用eclipse的细节,教程基于eclipse3.7(indigo) 1. eclipse概览 很多人知道eclipse是一个java ide。 Eclipse由开源软件社区创建,并且应用于很多不同的领域,比如作为java或者android的开发环境。 Eclipse工程由eclipse基金会管理,eclipse基金会是一个掌管eclipse工程而且帮助培养开源社区以及一个完整的包含产品和服务的非盈利性并由成员支持的公司。 Eclipse起源于2001年,今天在java开发环境市场占有率达到了65%。 Eclipse能够由各种插件来扩展,因此有很多开源工程和公司通过插件扩展了eclipse,所以使用eclipse也可以开发(eclipse rcp) 2开始 2.1安装 Eclipse需要安装安装java运行时。我推荐使用java 7(就是java1.7)。安装eclipse需要从https://www.360docs.net/doc/3c3024309.html,/downloads下载包“eclipse ide for java developers”。并将它解压到一个目录中,使用的目录名不能包含空格,有时候eclipse出现问题就是因为这个。解压完了之后就可以使用了,不需要什么额外的安装过程。 2.2开始使用eclipse 启动eclipse需要双击eclipse.exe(windows),或./eclipse(linux\mac)。系统会提示你指定一个workspace。Workspace是用来存储java工程(关于workspace,后面有详细讲解)。选择一个空目录然后点击OK,如图:

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

Eclipse_CDT安装及使用教程

Eclipse CDT安装及使用教程 Eclipse CDT安装教程 一、安装配置JDK 1、下载windows jdk 安装包,可以从官网下载,也可以从这里下载,下载完成后,按照一般软件安装的方法安装; 2、安装完成后,找到安装目录,如C:\Program Files\Java\jdk1.6.0_35,记录下来; 3、Windows7下右击电脑桌面的计算机,选择属性,然后点击右边的“高级系统设置”,在弹出的对话框点击“环境变量”,如图。 4、在步骤3中弹出的对话框的下方,看到系统变量,点击下方的“新建”(见图12),然后在变量名的地方填入JA V A_HOME,变量值填入步骤2中记录的路径,然后点击确定;

5、按照步骤4的方法,新建另一个变量,变量名是:CLASSPATH,变量值是:.;%JA V A_HOME%\lib\dt.jar;%JA V A_HOME%\lib\tools.jar;%JA V A_HOME%\jre\lib\rt.jar 统变量中找到变量名为PATH的变量,双击,在变量值的最后加上:;%JA V A_HOME%\bin(见图),然后一路确定。 7、至此,eclipse的运行环境配置成功。

二、配置C/C++编译器MinGW Windows下安装配置MinGW 从MinGW官网下载最新程序,下载地址: https://www.360docs.net/doc/3c3024309.html,/project/mingw/Installer/mingw-get-inst/mingw-get-inst-20120426/mi ngw-get-inst-20120426.exe; 双击下载好的程序,然后一路点击“Next”按钮,直到图1的界面,选择“I accept the agreement”,然后点击next。 3、选择路径,默认放在C:\MinGW,点击next;接下来的界面也是next;一直到图中的组件选择界面,勾上C Compiler前面的钩,也可以按自己喜好选上C++ Compiler或其它组件,点击next;在下一个界面点击Install,就会进行安装。

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

Eclipse中JAVA中文教程

来源:网上 PDF制作:https://www.360docs.net/doc/3c3024309.html, Eclipse – 整合开发工具 基础篇

Jacky Lee 2005/03/01

目录 0.环境说明 (8) 1.Eclipse简介 (9) 1.1历史背景 (9) 1.2开发原始码软件 (10) 1.3 Eclipse版本介绍 (10) 1.4跨语言、跨平台 (11) 2. Eclipse Platform (13) 2.1概观 (13) 2.2架构 (13) 2.3项目与资料夹 (14) 2.4平台核心 (14) 2.5工作区(workspace) (15) 2.6工作台(workbench) (15) 2.6.1视图(View) (16) 2.6.2编辑器(Editor) (19) 2.6.3视景(Perspective) (22) 2.7重新排列视图和编辑器 (23) 2.7.1放置游标 (23) 2.7.2重新排列视图 (24) 2.7.3并列编辑器 (25) 2.7.4重新排列附加标签的视图 (26) 2.7.5最大化 (27) 2.8菜单和工具列 (28) 2.8.1菜单 (29) 2.8.2图标和按钮 (44) 2.9视景 (49) 2.9.1新视景 (49) 2.9.2新窗口 (51) 2.9.3储存视景 (52) 2.9.4配置视景 (54) 2.10作业和标记 (55) 2.10.1不相关的作业 (56) 2.10.2相关的作业 (56) 2.10.3开启档案 (58) 2.11书签 (58) 2.11.1新增和检视书签 (59)

2.11.2使用书签 (61) 2.11.3移除书签 (61) 2.12快速视图(Fast View) (63) 2.12.1建立快速视图 (63) 2.12.2使用快速视图 (64) 2.13比较 (65) 2.13.1简单比较 (66) 2.13.2了解比较 (67) 2.13.3使用比较 (69) 2.14历史纪录 (71) 2.15回应 UI (73) 3.喜好设定(Preferences) (76) 3.1工作台(Workbench) (77) 3.1.1外观(Appearance) (79) 3.1.2功能(Capabilities) (80) 3.1.3颜色和字型(Colors and Fonts) (82) 3.1.4比较/修正(Compare/Patch) (83) 3.1.5编辑器(Editors) (86) 3.1.6档案关联(File Associations) (87) 3.1.7按键(Keys) (90) 3.1.8标签装饰(Label Decorations) (99) 3.1.9链接资源(Linked Resources) (99) 3.1.10历史纪录(Local History) (101) 3.1.11视景 (102) 3.1.12搜寻(Search) (104) 3.1.13启动和关闭(Startup and Shutdown) (105) 3.2 Ant (107) 3.2.1 Ant 编辑器(Ant Editor) (107) 3.2.2 Ant 执行时期(Ant Runtime) (109) 3.3建置次序(Build Order) (112) 3.4说明(Help) (113) 3.4.1说明服务器(Help Server) (115) 3.5自动更新(Install/Update) (116) 3.6 Java (117) 3.6.1外观(Appearance) (118) 3.6.2类别路径变量(Classpath variables) (119) 3.6.3程序代码格式制作器(Code Formatter) (120) 3.6.4程序代码产生(Code generation) (122)

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

Eclipse教程

附录J:Eclipse教程 By Y.Daniel Liang 付蓉译 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 提示:在学习完第一章后使用本教程第1节~第6节,学习完第二章后可配合本教 程的第7节,开始学习第十四章时可配合本教程的第8节。 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到https://www.360docs.net/doc/3c3024309.html,下载Eclipse。

安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从https://www.360docs.net/doc/3c3024309.html,/j2se/1.5/download.html下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后所有的文件都放在c:\eclipse中。 1 开始使用Eclipse 假设你已经将Eclipse安装在目录c:\eclipse下。要启动Eclipse,双击c:\eclipse目录下的eclipse图标(如图1): 图1 双击后出现了工作区装载窗口(如图2): 图2 输入你的工作区所在的目录,本例所有项目、程序都存放在c:\smith目录下,故输入c:\smith,然后点击OK,Eclipse的图形界面就展现在你的眼前了(如图3)。

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

eclipse知识教程(1)

ECLIPSE 黑油数值模拟基础 斯伦贝谢科技服务(北京)有限公司 Schlumberger Technology Services (Beijing) Ltd

目录 简介1 目的3 什么是油藏数值模拟 5 如何把模型与实际油藏联系在一起7 为什么要进行油藏数值模拟研究10 为什么选择ECLIPSE 12 ECLIPSE的功能14 ECLIPSE是如何工作的16 静态油藏描述19 PVT和岩石数据22 初始化数据24 井数据26 使用ECLIPSE进行油藏数值模拟研究28 如何使用手册30 文件的组织和结构33 ECLIPSE输入/输出结构35 ECLIPSE输出文件 37 ECLIPSE输出类型 41 输出文件名称44 文件位置48 宏命令的使用50 输入文件结构53

数据文件语法规则56 关键字语法58 各部分通用的关键字60 系统使用63 基本的UNIX命令65 VI编辑器69 RUNSPEC部分 73 RUNSPEC部分的作用 75 RUNSPEC部分关键字 78 不含RUNSPEC部分的数据文料81 GRID部分83 GRID部分的作用85 数据排列规则88 网格几何形状90 块中心网格实例94 角点网格实例97 网格模型格块属性99 如何指定网格格块属性101 传导系数规则109 笛卡儿网格的传导系数Ill 径向网格传导系数118 页岩模型121 传导系数修正124

非相邻连接NNC 128 断层处NNC的生成130 尖灭处NNC的生成132 局部网格加密(LGR)处NNC的生成134 双孔模型中的NNC 136 水体处NNC的生成138 径向网格中NNC的生成140 径向模型142 输出控制145 GRID部分关键字总结 148 GRID部分关键字149 EDIT部分153 EDIT部分的作用155 EDIT部分关键字总结157 PROPS部分—流体属性159 流体属性的作用161 黑油模型概述163 黑油及组分模拟167 油相状态方程169 用PVDO输入dead oi1的PVT属性172 用PVCDO输入dead oi1的PVT数据174 用PVTO输入live oil的PVT数据176 用PVCO输入live oi1的PVT数据178

Eclipse教程入门到精通

Eclipse –入门到精通 初级篇 目录 0.环境说明 (8) 1.Eclipse 简介 (9) 1.1 历史背景 (9) 1.2 开发原始码软件 (10) 1.3 Eclipse 版本介绍 (10) 1.4 跨语言、跨平台 (11) 2. Eclipse Platform (13) 2.1 概观 (13) 2.2 架构 (13) 2.3 项目与资料夹 (14) 2.4 平台核心 (14) 2.5 工作区(workspace) (15) 2.6 工作台(workbench) (15) 2.6.1 视图(View) (16) 2.6.2 编辑器(Editor) (19) 2.6.3 视景(Perspective) (22) 2.7 重新排列视图和编辑器 (23) 2.7.1 放置游标 (23) 2.7.2 重新排列视图 (24) 2.7.3 并列编辑器 (25) 2.7.4 重新排列附加标签的视图 (26) 2.7.5 最大化 (27) 2.8 菜单和工具列 (28) 2.8.1 菜单 (29) 2.8.2 图标和按钮 (44) 2.9 视景 (49) 2.9.1 新视景 (49) 2.9.2 新窗口 (51) 2.9.3 储存视景 (52) 2.9.4 配置视景 (54) 2.10 作业和标记 (55) 2.10.1 不相关的作业 (56) 2.10.2 相关的作业 (56) 2.10.3 开启档案 (58) 2.11 书签 (58)

2.11.1 新增和检视书签 (59) Eclipse 中文教程.doc 第 4 頁,共 288 頁 2.11.2 使用书签 (61) 2.11.3 移除书签 (61) 2.12 快速视图(Fast View) (63) 2.12.1 建立快速视图 (63) 2.12.2 使用快速视图 (64) 2.13 比较 (65) 2.13.1 简单比较 (66) 2.13.2 了解比较 (67) 2.13.3 使用比较 (69) 2.14 历史纪录 (71) 2.15 回应 UI (73) 3.喜好设定(Preferences) ...................................................... 76 3.1 工作台(Workbench) (77) 3.1.1 外观(Appearance) (79) 3.1.2 功能(Capabilities) (80) 3.1.3 颜色和字型(Colors and Fonts) (82) 3.1.4 比较/修正(Compare/Patch) (83) 3.1.5 编辑器(Editors) (86) 3.1.6 档案关联(File Associations) (87) 3.1.7 按键(Keys) (90) 3.1.8 标签装饰(Label Decorations) (99) 3.1.9 链接资源(Linked Resources) (99) 3.1.10 历史纪录(Local History) (101) 3.1.11 视景 (102) 3.1.12 搜寻(Search) (104) 3.1.13 启动和关闭(Startup and Shutdown) (105) 3.2 Ant (107) 3.2.1 Ant 编辑器(Ant Editor) (107) 3.2.2 Ant 执行时期(Ant Runtime) (109) 3.3 建置次序(Build Order) (112) 3.4 说明(Help) (113) 3.4.1 说明服务器(Help Server) (115) 3.5 自动更新(Install/Update) (116) 3.6 Java (117) 3.6.1 外观(Appearance) (118) 3.6.2 类别路径变量(Classpath variables) (119) 3.6.3 程序代码格式制作器(Code Formatter) (120) 3.6.4 程序代码产生(Code generation) (122) Eclipse 中文教程.doc 第 5 頁,共 288 頁

DC基本问题

1.14. translation这一步是用什么DC命令来实现的? 我们知道,DC综合过程包括3个步骤:translation + logic optimization + mapping transition 对应命令为 read_verilog(read_vhdl等) logic optimization 和 mapping 对应于 compile 1.15. 逻辑优化和映射(logic optimization + mapping)又是用什么DC命令来实现的? logic optimization 和 mapping 均在compile命令完成,但是可以指定使用特殊的优化方法:structural 和flatten,建议大家在synthesis时同时生成structural 和flatten格式的netlist,然后打开看看到底有什么不同之处。 1.17. 基于路径的综合的意思是什么? 路径(path),是DC中的一个重要概念。它包括4种路径方式: 1 input到FF的D端。 2 FF的clk到另一个FF的D端。 3 FF的clk到输出Q。 4 input到output。 基于路径的综合就是对这四种路径进行加约束,综合电路以满足这些约束条件。 1.24 reference 是指什么? 和cell 有什么区别? 当存在一个模块被多次例化,那么该模块就称为reference 1.25 如何读入一个design? 使用analyze + elaborate 或者 read_verilog、read -f verilog、 read_vhdl、read_file 命令。 1.26 analyze+ elaborate 和 read 命令有什么区别? read_file 是可以读取任何synopsys支持格式的;analyze和eloborate 只支持verilog和VHDL两个格式,但是他们支持在中间过程中加入参数而且以便以后可以加快读取过程。

Encounter使用入门教程

Encounter使用入门教程 本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。 在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据: 时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib 物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv 时序约束文件:pad_counter.sdc IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件 还有其它一些文件在后面用到时进行介绍。 一、网表中添加PAD、编写IO Assignment File 这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。 这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena); input clk; input reset_n; input in_ena; output [3:0] cnt; output carry_ena; reg [3:0] cnt; reg carry_ena; always @(posedge clk or negedge reset_n) begin if(!reset_n) cnt<=4'b0; else if(in_ena && cnt==4'd10)

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

dc使用

DC使用说明 文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v,它是描述一个电路的verilog代码,我们的目标就是用DC综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合example1.v的脚本文件。这两个文件都在/home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。 一、DC图形界面的使用。 1.DC图形界面的启动 1.1 打开一个终端窗口,写入命令dv –db_mode,敲入回车。 则DC图形界面启动,如下图所示

红框处是DC的命令输入框,以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。 选择Help----- Man Pages可以查看DC的联机帮助。相应指令:man。例:man man表示 查看man命令的帮助。man create_clock表示查看creat_clock命令的帮助。

2.设置库文件 选择File---- Setup 需要设置以下库文件,如下图。 相应指令: set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys \ /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys] set target_library { smic25_tt.db } set link_library { smic25_tt.db } set symbol_library { smic25.sdb }

eclipse教程——入门篇(编程爱好者学习的经典教材)

Eclipse教程 By Y.Daniel Liang 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 提示:在学习完第一章后使用本教程第1节~第6节,学习完第二章后可配合本教程的第7节,开始学习第十四章时可配合本教程的第8节。 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到下载Eclipse。 安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后

中文DC文档

max_transition可以定义为上升或下降电阻和线网负载的乘积,除了工艺库中提供的,用户也可自己定义,而实际采用的是最严格的那个定义值。 相比较max——transition并不直接控制线网的实际电容,而max_capacitance作为直接控制电容的限制而提出的。但是其cost function是基于总的电容而不是瞬态时间。二者可以同时定义。没有违反约束的定义是:驱动pin或者是port的max capacitance大于或者是等于所有被驱动管的电容之和。 Max transition和max fanout 和max capacitance可以用来决定设计中的buffer个数。―――影响时间参数 优化约束―――对时间的约束优先于对面积的约束。在满足对面积的约束之前,会尽量的考虑满足对时间约束条件的满足。 对时间的约束―――-时序电路中通过对时钟的定义来达到,set input delay和set output delay。 ――――组合电路通过对set max delay和set min delay来设定点点之间的时间延迟,max delay通过设定set max delay和creat clock来达到; 而min delay通过设定set min delay和对保持时间的要求来达到。其中的使用set fix hold来固定保持时间。 优化的过程就是减少代价函数的过程――包括设计规则代价函数和优化代价函数。Max delay cost;min delay cost;max power cost;max area cost; 其中max delay cost是代价函数中具有最优权。 Max delay cost的计算:最差的违背和每个路径组的权重之积――worst violation;path group; 当设计不能满足时序单元的建立时间的要求的时候,违背就发生了。一般,把由一个时钟约束的路径组合成一个路径组,这样每个时钟都建立了一个单独的路径组,而剩余的路径组合成默认的路径组,如果在没有时钟的情况下,所有的路径都组合成一个默认的路径组。而综合总是针对路径进行的,所以很容易给每个路径定义其权重。如:max delay cost=1×1+1×2+1×3=6 min delay cost次重。与路径无关,是所有的最差的min delay违背之和,当实际的延迟时间小于设定的期望的延迟时间的时候,违背就发生了。如:min delay=1+2+3=6 max power是用于ECL电路中。 综合时候的时钟设定: 设定时钟树的时候,使用set dont touch network来设定时钟的dont touch参数值,防止 buffers。 Synopsys的STA不报告时序单元中针对时钟管脚的延迟(认为是理想状态-0)。如果时钟经过门单元的话,则不能认为时钟延迟是0,所以也要设定set clock skew-proagated选项来得到非零的时钟延迟,如果ASIC提供商已经定义了相对于时序单元管脚的潜在的时钟skew的上限的话,可以用set clock skew -uncertainty来定义skew。在设定完时钟之后,我们要使用set input delay和set output delay-option来设定输入输出端口的时间设定。用set multicycle path来设定复合时钟。

synopsys_DC-200809安装图文详解

Synopsys Design Compiler 2008.09安装图文详解 安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。在其它Linux 系统安装也可以循此步骤安装。 安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。 破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。 安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。 安装过程:(安装是在root 权限下进行的,推荐) 1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装, 如下所示: (注:我之前死活装不上CSH ,发现需要更新一下sudo apt-get update ) 2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可. 3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。首先用cd 命令转 到installer 目录下,然后执行 ./installer –gui 命令。如图所示:(参照图中下方两行命令)

选中目标后,点击Done。 接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

相关文档
最新文档