北科大第二次计组实验报告_超前进位加法器

合集下载

计算机组成原理2015实验报告

计算机组成原理2015实验报告

工程与设计学院2013级计算机五班姓名: 王秀珍学号:2013180524目录一、基本运算器实验二、超前进位加法器三、静态随机存储器实验四、Cache控制器设计实验五、微程序控制器实验六、CPU与简单模型机设计实验湖南师范大学工程与设计学院实验数据报告单实验课程:计算机组成原理实验实验题目:基本运算器实验实验日期: 2015年 6 月 15 日实验地点:中栋五楼502室计算机组成原理与接口实验室专业:计算机科学与技术年级:13 班级: 五班姓名:王秀珍学号:2013180524一.实验目的(1)了解运算器的组成结构(2)掌握运算器的工作原理二.实验内容1、两个8位操作数的算术运算、逻辑运算及进位影响。

2、不同控制组合下的算数与逻辑运算的输出结果。

三.实验原理运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。

如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。

ALU中所有模块集成在一片CPLD中。

如下图。

四.实验结果与分析分析:1、掌握了运算器的组成及工作原理2、了解了发生器74LS245的组合功能,熟悉了运算器执行算术操作和逻辑操作的具体实现。

这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。

最重要的是通过实验掌握了算术/逻辑运算的运算过程及控制这种运算的方法。

实验成绩:指导老师签名:湖南师范大学工程与设计学院实验数据报告单实验课程:计算机组成原理实验实验题目:超前进位加法器设计实验实验日期: 2015年 6 月 15 日实验地点:中栋五楼502室计算机组成原理与接口实验室专业:计算机科学与技术年级:13 班级: 五班姓名:王秀珍学号:2013180524一.实验目的1、掌握超前进位加法器的原理及其设计方法。

设计一个 4 位超前进位加法器(数字逻辑课设)

设计一个 4 位超前进位加法器(数字逻辑课设)
c[1]=(p[1]&p[0]&ci)|(p[1]&g[0])|g[1],
c[2]=(p[2]&p[1]&p[0]&ci)|(p[2]&p[1]&g[0])|(p[2]&g[1])|g[2],
c[3]=(p[3]&p[2]&p[1]&p[0]&ci)|(p[3]&p[2]&p[1]&g[0])|(p[3]&p[2]&g[1])|(p[3]&g[2])|g[3];
代码如下: add.v
`timescale 1ns/1ps
module add(input [3:0]a,input [3:0]b,input ci,output [3:0]s,output co); //定义所需变量
wire [3:0] p, g; //进位传递函数p,进位产生函数g
wire [3:0] c; //进位函数
设计要求:在这个设计中,你需要使用 Verilog 代码设计一个 4 位的超前进位加法器。这个 4 位超前进位加法器可以完成对两个 4 位二进制数的相加。完成设计后,你还需要设计测试程序(test bench)来验证你的设计。你的测试程序需要考虑到所有可能的输入情况。
设计思路:
因为各进位的产生依赖于低位的进位,所以运算速度较慢。为了提高速度,必须设法使较低位的进位信号越过中间各级直接决定较高位的进位输出,设计Ai和Bi分别表示第i位的被加数和加 数,Ci-1为来自第i-1位全加器的进位,令Pi=Ai^Bi,Gi=Ai&Bi函数表达式为:
Co=PoCo+Go
C1=P1Co+G1
C2=p2C1+G2

4bits超前进位加法器全定制设计实验报告

4bits超前进位加法器全定制设计实验报告
2.5
TPLH
Propagation Delay Time
A1~A4,B1~B4到C4
3
ns
TPHL
3
tT
2.5
tP
2.5
Av Power
4
Layout Area
150*180
2.5真值表
2.6逻辑图
工艺的主要参数(smic18工艺)
参数
参数定义
PMOS数值
NMOS数值
U0
迁移率
8.661m
34m
Vth
-0.4
mA
IOL
Low Level OutputVoltage
8
mA
2.3直流特性
Symbol
Parameter
Test Condition
Value
Units
TA=25°C
Min
Typ
Max
VOH
High Level OutputVoltage
VCC=Min,IOH=Max
VIL=Max,VIH=Min
mA
C0
-0.4
II
InputCurrent @ Max Input Voltage
VCC=Max
VI=7.2V
A,B
0.2
mA
C0
0.1
ICC
Supply Current
VCC=Maxl
Parameter
From (Input )to (Ouput)
Test Condition
(2)点选LM视窗上面的工具列File→New→Library;
(3)会产生New Library画面;
(4)在框内填入库名;

组成原理-超前进位加法器设计

组成原理-超前进位加法器设计

《计算机组成原理》实验报告专业:学号:学生姓名:实验日期:实验二一、实验名称:超前进位加法器设计二、实验目的:1 掌握超前进位加法器的原理及其设计方法。

2 熟悉CPLD 应用设计及EDA 软件的使用。

三、实验设备:PC 机一台,TD-CMA 实验系统一套,排线若干。

四、实验内容:1.了解加法器的工作原理,掌握超前进位产生电路的设计方法.2.正确将电路原理图下载到试验箱中.3.正确通过实验箱连线实现4位二进制数的相加并得到正确结果五、实验原理:加法器是执行二进制加法运算的逻辑部件,也是CPU 运算器的基本逻辑部件(减法可以通过补码相加来实现)。

加法器又分为半加器和全加器(FA),不考虑低位的进位,只考虑两个二进制数相加,得到和以及向高位进位的加法器为半加器,而全加器是在半加器的基础上又考虑了低位过来的进位信号。

表1-2-1 1 位全加器真值表A、B 为2 个1 位的加数,Ci 为来自低位的进位,S 为和,Co 为向高位的进位,根据表1-2-1所示的真值表,可得到全加器的逻辑表达式为:S = ABCi + ABCi + ABCi + ABCiCo = AB+ ACi + BCi根据逻辑表达式,可得到如图1-2-1 所示的逻辑电路图。

有了 1 位全加器,就可以用它来构造多位加法器,加法器根据电路结构的不同,可以分为串行加法器和并行加法器两种。

串行加法器低位全加器产生的进位要依次串行地向高位进位,其电路简单,占用资源较少,但是串行加法器每位和以及向高位的进位的产生都依赖于低位的进位,导致完成加法运算的延迟时间较长,效率并不高。

C0 = 0Ci+1 = AiBi + AiCi + BiCi = AiBi + (Ai + Bi)Ci设gi = AiBipi = Ai + Bi则有:Ci+1 = gi + piCi= gi + pi(gi-1 + pi-1Ci-1)= gi + pi(gi-1 + pi-1(gi-2 + pi-2Ci-2))…= gi + pi (gi-1 + pi-1(gi-2 + pi-2(…(g0 + p0C0)…)))= gi + pigi-1 + pipi-1gi-2 + … + pipi-1…p1g0 + pipi-1…p1p0C0由于gi、pi 只和Ai、Bi 有关,这样Ci+1 就只和Ai、Ai-1、…、A0,Bi、Bi-1、…、B0 及C0有关。

试验二 超前进位加法器 设计

试验二   超前进位加法器 设计

试验二超前进位加法器设计练习2. 4位超前进位加法器行波进位加法器延时较长,可采用超前进位加法器减少延时,但是由此会造成电路的复杂。

超前进位加法器原理参见《数字集成电路》(周润德第二版)424页。

对以上公式的注解:公式中的C O,K 表示第K 为的进位输出,G K 为第K 位的进位产生,P K 为第K 为的进位传播。

且:K K KK K KG A B P A B ==⊕ A K ,B K 为输入加数的第K 位。

将Co 和S 用G,P 重写为: O ii C G PC S P C =+=⊕由于低位的输出进位是高位的输入进位,所以有上面的(11.15)式源程序://this is a carry lookahead of 4bitmodule clad(ina,inb,ci,co,sum);input[3:0] ina,inb;input ci;output co;output[3:0]sum;wire co0,co1,co2,G0,G1,G2,G3,P0,P1,P2,P3;assignG0=ina[0]&inb[0],P0=ina[0]^inb[0],G1=ina[1]&inb[1],P1=ina[1]^inb[1],G2=ina[2]&inb[2],P2=ina[2]^inb[2],G3=ina[3]&inb[3],P3=ina[3]^inb[3],co0=G0|(P0&ci),co1=G1|(P1&co0),co2=G2|(P2&co1),co=G3|(P3&co2),sum[0]=P0^ci,sum[1]=P1^co0,sum[2]=P2^co1,sum[3]=P3^co2;endmodule测试程序:module clad_test;reg[3:0] ina,inb;reg ci;wire co;wire[3:0]sum;clad CI(.ina(ina),.inb(inb),.ci(ci),.co(co),.sum(sum)); initialbeginina=0;inb=0;ci=0;endalways #5 ina=ina+1'b1;always #80 inb=inb+1'b1;always #1280 ci=~ci;endmodule仿真结果:注:该结果为10进制显示练练手:参考《数字集成电路》(周润德第二版)420-421,设计一个16位的超前进位加法器,基本单元使用4位的加法器,结构自选。

加法器实验报告

加法器实验报告

加法器实验报告加法器实验报告随着社会一步步向前发展,报告与我们的生活紧密相连,报告具有双向沟通性的特点。

在写之前,可以先参考范文,以下是小编为大家整理的加法器实验报告,仅供参考,大家一起来看看吧。

加法器实验报告1一、实验目的1、了解加法器的基本原理。

掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。

2、学习和掌握半加器、全加器的工作和设计原理3、熟悉EDA工具Quartus II和Modelsim的'使用,能够熟练运用Vrilog HDL语言在Quartus II下进行工程开发、调试和仿真。

4、掌握半加器设计方法5、掌握全加器的工作原理和使用方法二、实验内容1、建立一个Project。

2、图形输入设计:要求用VHDL结构描述的方法设计一个半加器3、进行编译,修改错误。

4、建立一个波形文件。

(根据真值表)5、对该VHDL程序进行功能仿真和时序仿真Simulation三、实验步骤1、启动QuartusⅡ2、建立新工程NEW PROJECT3、设定项目保存路径\项目名称\顶层实体名称4、建立新文件Blok Diagram/Schematic File5、保存文件FILE /SAVE6、原理图设计输入元件符号放置通过EDIT>SYMBOL插入元件或点击图标元件复制元件转动元件删除管脚命名PINNAME元件之间连线(直接连接,引线连接)7、保存原理图8 、编译:顶层文件设置,PROJECT>Set as TopLevel开始编译processing>Start Compilation编译有两种:全编译包括分析与综合(Analysis&Synthesis)、适配(Fitter)、编程(assembler)时序分析(Classical Timing Analysis)4个环节,而这4个环节各自对应相应菜单命令,可单独发布执行也可以分步执行9 、逻辑符号生成FILECreat/update>create Symbol File forCurrent File10 、仿真建立仿真wenjian添加需要的输入输出管脚设置仿真时间设置栅格的大小设置输入信号的波形保存文件,仿真功能仿真:主要检查逻辑功能是否正确,功能仿真方法如下:1TOOL/SIMULATOR TOOL,在SIMULATOR MODE下选择Functional,在SIMULATION INPUT栏中指定波形激励文件,单击Gencrator Functional Simulator Netist,生成功能仿真网表文件。

16位超前进位加法器实验报告

16位超前进位加法器实验报告

实验名称:十六位超前进位加法器一、实验目的设计、验证并优化16位超前进位加法器的逻辑功能。

二、实验原理1、1位全加器原理全加器的求和输出信号和进位信号,定义为输入变量A、B、C的两种组合布尔函数:求和输出信号 = A ⊕ B ⊕ C进位信号 = AB + AC + BC实现这两个函数的门级电路如下图。

并不是单独实现这两个函数,而是用进位信号来产生求和输出信号。

这样可以减少电路的复杂度,因此节省了芯片面积。

上述全加器电路可以用作一般的n位二进制加法器的基本组合模块,它允许两个n 位的二进制数作为输入,在输出端产生二进制和。

最简单的n位加法器可由全加器串联构成,这里每级加法器实现两位加法运算,产生相应求和位,再将进位输出传到下一级。

这样串联的加法器结构称为并行加法器,但其整体速度明显受限于进位链中进位信号的延迟。

因此,为了能够减少从最低有效位到最高有效位的最坏情况进位传播延时,最终选择的电路是十六位超前加法器。

2、超前进位加法器原理超前进位加法器的结构如下图。

超前进位加法器的每一位由一个改进型全加器产生一个进位信号gi和一个进位传播信号pi,其中全加器的输入为Ai和Bi,产生的等式为:改进的全加器的进位输出可由一个进位信号和一个进位传输信号计算得出,因此进位信号可改写为:式中可以看出,当gi = 1(Ai = Bi = 1)时,产生进位;当pi = 1(Ai =1或Bi = 1)时,传输进位输入,这两种情况都使得进位输出是1。

近似可以得到i+2和i+3级的进位输出如下:下图为一个四位超前进位加法器的结构图。

信号经过pi和gi产生一级时延,经过计算C产生一级时延,则A,B输入一旦产生,首先经过两级时延算出第1轮进位值C’不过这个值是不正确的。

C’再次送入加法器,进行第2轮2级时延的计算,算出第2轮进位值C,这一次是正确的进位值。

这里的4个4位超前进位加法器仍是串行的,所以一次计算经过4级加法器,一级加法器有2级时延,因此1次计算一共经过8级时延,相比串行加法器里的16级时延,速度提高很多。

数字电路课程设计之超前进位加法器

数字电路课程设计之超前进位加法器

reg
Cin;
wire[3:0] S;
wire
Cout;
carry_look_add CAL (.A(A),.B(B),.Cin(Cin),.Cout(Cout),.S(S)); initial begin
#10 A=4'd0;B=4'd0;Cin=0; #10 A=4'd11;B=4'd1;Cin=0; #10 A=4'd10;B=4'd12;Cin=0; #10 A=4'd11;B=4'd4;Cin=0; #100 $stop; end endmodule
Pi=Ai○+ Bi;
可以得到输出与进位表达
Gi=AiBi;
Si=Pi○+ Ci;
Ci+1=Gi+PiCi; Gi 为进位产生,不管输入进位 Ci 为什么,只要 Ai 与 Bi 为 1 时,它将产生进位。Pi 称为进位传输,因为它 与从 Ci 到 Ci+1 的进位传输有关
C0 = 输入进位
C1 = G0 + P0C0
Half_Add H3(.a(A[2]),.b(B[2]),.s(v6),.c(v5));
Half_Add H4(.a(A[3]),.b(B[3]),.s(v8),.c(v7));
carry_look
CL1(.C0(Cin),.P0(v2),.G0(v1),.P1(v4),.G1(v3),.P2(v6),.G2(v5),.P3(v8),.G3(v7),.C1(o1),.C2(o2),.C3(o3),.C4(Cout));
注意 C4 并不需要等待 C3,实际上 C4,C3,C2,C1 同时传输 超前进位产生的电路逻辑图

计算机组成原理课程设计—超前进位加法器的设计资料

计算机组成原理课程设计—超前进位加法器的设计资料

沈阳航空航天大学课程设计报告课程设计名称:计算机组成原理课程设计课程设计题目:超前进位加法器的设计院(系):计算机学院专业:班级:学号:姓名:指导教师:完成日期:沈阳航空航天大学课程设计报告目录第1章总体设计方案 (1)1.1设计原理 (1)1.2设计思路 (2)1.3设计环境 (3)第2章详细设计方案 (4)2.1顶层方案图的设计与实现 (4)2.1.1创建顶层图形设计文件 (4)2.1.2器件的选择与引脚锁定 (5)2.1.3编译、综合、适配 (7)2.2功能模块的设计与实现 (7)2.2四位超前进位加法器模块的设计与实现 (7)2.3仿真调试 (9)第3章编程下载与硬件测试 (11)3.1编程下载 (11)3.2硬件测试及结果分析 (11)参考文献 (13)附录(程序清单或电路原理图) (14)第1章总体设计方案1.1设计原理八位超前进位加法器,可以由2个四位超前进位加法器构成。

由第一个四位超前进位加法器的进位输出作为第二个超前进位加法器的进位输入即可实现八位超前进位加法器的设计。

超前进位产生电路是根据各位进位的形成条件来实现的。

只要满足下述条件,就可形成进位C1、C2、C3、C4。

所以:第一位的进位C1=X1*Y1+(X1+Y1)*C0第二位的进位C2=X2*Y2+(X2+Y2)*X1*Y1+(X2+Y2)(X1+Y1)C0第三位的进位C3=X3*Y3+(X3+Y3)X2*Y2+(X3+Y3)*(X2+Y2)*X1*Y1+(X3+Y3)(X2+Y2)(X1+Y1)*C0第四位的进位C4=X4*Y4+(X4+Y4)*X3*Y3+(X4+Y4)*(X3+Y3) * X2*Y2+(X4+Y4)(X3+Y3)(X2+Y2)*X1*Y1+(X4+Y4)(X3+Y3)(X2+Y2)(X1+Y1)*C0 下面引入进位传递函数Pi和进位产生函数Gi的概念。

它们定义为:Pi=Xi+YiGi=Xi*YiP1的意义是:当X1和Y1中有一个为1时,若有进位输入,则本位向高位传递此进位。

超前进位加法器设计(参考资料)

超前进位加法器设计(参考资料)

加法器设计(三)超前进位加法器(Verilog)超前进位加法器module add4_head ( a, b, ci, s, pp, gg);input[3:0] a;input[3:0] b;input ci;output[3:0] s;output pp;output gg;wire[3:0] p;wire[3:0] g;wire[2:0] c;assign p[0] = a[0] ^ b[0];assign p[1] = a[1] ^ b[1];assign p[2] = a[2] ^ b[2];assign p[3] = a[3] ^ b[3];assign g[0] = a[0] & b[0];assign g[1] = a[1] & b[1];assign g[2] = a[2] & b[2];assign g[3] = a[3] & b[3];assign c[0] = (p[0] & ci) | g[0];assign c[1] = (p[1] & c[0]) | g[1];assign c[2] = (p[2] & c[1]) | g[2];assign pp = p[3] & p[2] & p[1] & p[0];assign gg = g[3] | (p[3] & (g[2] | p[2] & (g[1] | p[1] & g[0])));assign s[0] = p[0] ^ ci;assign s[1] = p[1] ^ c[0];assign s[2] = p[2] ^ c[1];assign s[3] = p[3] ^ c[2];endmodule首先要明确几个概念:p表示进位否决信号(pass),如果p为0就否决调前一级的进位输入。

否决的意思就是即使前一级有进位,本级也不会向后一级产生进位输出。

计组-加法器实验报告

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器一、实验原理1.一位半加器A和B异或产生和Sum,与产生进位C2.一位全加器将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器3.4位串行进位加法器将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器4.超前进位加法器(4位)⑴AddBlock产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum⑵进位链(Cmaker)四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位⑶超前进位加法器将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。

各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材QuartusII仿真软件,实验箱三、实验结果1.串行进位加法器结果2.超前进位加法器结果四、实验结果分析1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化不到位。

另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。

当位数增加的时候,超前进位加法器会比串行的更快。

2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致。

074-王楠-计组实验三(超前进位加法器设计实验)

074-王楠-计组实验三(超前进位加法器设计实验)

延安大学计算机学院实验报告专用纸
这个4 x 4阵列乘法器的原理如图1-3-1所示。

FA (全加器)的斜线方向为进位输出,竖线方向为和输出。

图中阵列的最后-行构.成了一个串行进位加法器。

由于FA一级是无需考虑进位的,它的进位被暂时保留下来不往前传递,因此同- -极中任意- -位FA加法器的进位输出与和输出几乎是同时形成的,与“串行移位”相比可大大减少同级间的进位传递延迟,所以送往最后一行串行加法器的输,入延迟仅与FA 的级数(行数)有关,即与乘数位数有关。

本实验用CPLD来设计一个4x4位加法器,且全部采用原理图方式实现。

【5】实验步骤
(1)根据上述阵列乘法器的原理,使用Quartus |I 软件编辑相应的电路原理图并进行编译,其在EPM1270 芯片中对应的引脚如图1-3-2所示,框外文字表示I/O号,框内文字表示该引脚的含义。

(2)关闭实验系统电源,按图1-3-3连接实验电路,图中将用户需要连接的信号用圆圈标明。

(3)实物图如下:
(4)打开实验系统电源,将生成的POF文件下载到EPM1270中去。

(5)以CON单元中的SD10...SD13四个二进制开关为乘数A,SD14.. SD17四个二进制开关为被乘数B,而相乘的结果在CPLD单元的L7...LO八个LED灯显示。

给A和B置不同的数,观察相乘的结果。

【6】实验结果
1、输入:1111 1111
结果:1111111
2、输入:0000 0000
结果:00000000。

实验二 进位控制实验-报告

实验二  进位控制实验-报告

·1·沈 阳 工 程 学 院学 生 实 验 报 告实验室名称:计算机组成原理实验室 实验课程名称:计算机组成原理 实验项目名称:进位控制实验 实验日期: 20 年 月 日 班级: 姓名: 学号: 指导教师: 批阅教师: 成绩:一.实验目的1.验证带进位控制的算术运算功能发生器(74LS181)的功能。

2.完成加法和减法算术运算,记录结果并分析进位变化情况。

二.实验设备TDN-CM 计算机组成原理教学实验系统一台,排线若干。

三.实验内容1.实验原理进位控制运算器的实验原理如图2-1所示。

图2-1 进位控制实验原理图图中①~④对应的信号名称分别是①( )、②( )、③( )、④( )。

其中AR 为( )电平有效,LDDR1为( )电平有效。

本次实验在前面的算术逻辑运算实验基础上增加了进位控制部分。

当( )为低电平并且( )为正脉冲信号时,本次74LS181运算结果的进位将写入到74LS74锁存器中,并通过( )指示灯显示。

实验时将T4连至“STATE UNIT ”的微动开关KK2上。

2.实验步骤(1)请将图2-2实验接线图中缺少的接线及信号名称补充完整,仔细查无误后,接通电源。

实验二 进位控制实验·2·图2-2 实验接线图(2)用二进制数码开关向DR1和DR2寄存器置数。

请将操作步骤补充完整。

按照实验一中介绍的方法检验DR1和DR2中存的数是否正确,如果不正确需要( )。

如果正确请将SW-B 置为( ),将LDDR1、LDDR2和ALU-B 均设置为( ),以防止( )。

(3)在开始运算之前,如果进位标志指示灯CY 为灭,表示( )。

此时需要将进位标志( ),具体操作方法是将S3、S2、S1、S0、M 、AR 、LDDR1和LDDR2的状态置为( ),然后按动微动开关( )。

若清零时DR1寄存器中的数为FFH ,则会出现( )的情况。

(4)使Cn=( )、AR=( )、ALU-B=( ),S3 S2 S1 S0 M 状态为( ),来验证带进位加法运算及进位锁存功能。

2022年北京科技大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)

2022年北京科技大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)

2022年北京科技大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)一、选择题1、若单译码方式的地址输入线为6,则译码输出线有()根,那么双译码方式有输出线()根。

A.64,16B.64,32C.32,16D.16,642、对36位虚拟地址的页式虚拟存储系统,每页8KB,每个页表项为32位,页表的总容量为()。

A.1MBB.4MBC.8MBD.32MB3、加法器采用先行进位的根本目的是()。

A.优化加法器的结构B.快速传递进位信号C.增强加法器的功能D.以上都不是4、下列编码中,能检测出所有长度小于或等于校验位(检测位)长度的突发错的校验码是()。

A.循环冗余校验码B.海明码C.奇校验码D.偶校验码5、某数采用IEEE754标准中的单精度浮点数格式表示为C6400000H,则该数的值是()。

A.-1.5×213B.-1.5×212C.-0.5×213D.-0.5×2126、下列关于总线仲裁方式的说法中,正确的有()。

I.独立请求方式响应时间最快,是以增加处理器开销和增加控制线数为代价的II.计数器定时查询方式下,有,根总线请求(BR)线和一根设备地址线,若每次计数都从0开始,则设备号小的优先级高III.链式查询方式对电路故障最敏感IV.分布式仲裁控制逻辑分散在总线各部件中,不需要中央仲裁器A.III,IVB. I,III,IVC. I,II,IVD.II,III,IV7、某同步总线采用数据线和地址线复用方式,其中地址/数据线有32根,总线时钟频率为66MHz,每个时钟周期传送两次数据(上升沿和下降沿各传送一次数据),该总线的最大数据传输率(总线带宽)是()。

A.132MB/sB.264MB/sC.528MB/sD.1056MB/s8、计算机()负责指令译码。

A.算术逻辑单元B.控制单元(或者操作码译码器)C.存储器电路D.输入/输出译码电路9、冯·诺依曼型计算机的设计思想主要有()。

实验2 全加器功能测试

实验2 全加器功能测试

实验二全加器功能测试
一、实验目的
认识和熟悉加法器的功能和特点,测试由门电路组成的一位全加器的逻辑功能。

掌握超前进位集成4位加法器的连接方法和测试方法。

二、实验器件
74LS08 四2输入与门1片
74LS86 四2输入异或门1片
74LS32 四2输入或门1片
74LS283 超前进位集成4位加法器1片
芯片引脚图如下所示:
74LS08 四2输入与门74LS283 超前进位集成4位加法器
74LS86 四2输入异或门74LS32 四2输入或门
三、实验内容及步骤
1、用门电路组成的全加器按图1所示连线,将电路的三个输入端Ai、Bi和Ci-1分别接逻辑开关A、B、C,两个输出Si和Ci分别接电平指示器。

改变输入信号的高、低电平,观察输出端的状态变化,填写表1,写出Si和Ci的逻辑函数表达式。

图1
表1 逻辑真值表
Si = _________________________
Ci = _________________________
2、使用74LS283 超前进位集成4位加法器,A
3、A2、A1、A0、B3、B2、B1、B0分别接逻辑开关。

输出S1、S2、S3、S4接七段译码显示器,输出CO接发光二极管。

改变输入A3A2A1A0和B3B2B1B0,观察七段译码显示器的输出结果,并将输出结果填入表2。

四、实验报告
1、整理实验数据和图表。

2、总结组合逻辑电路的分析方法。

加法器实验实训报告

加法器实验实训报告

加法器实验实训报告引言:本次实验旨在设计和构建一个加法器电路,实现两个二进制数的相加操作。

加法器是计算机中最基本的逻辑电路之一,其功能对于计算机的运算和逻辑处理至关重要。

通过本次实验,我们将掌握加法器的原理和实现方法,并通过实际搭建电路进行验证。

一、实验目的本次实验的主要目的是:1.了解加法器的基本原理和工作方式;2.学习二进制数的相加操作;3.掌握加法器电路的设计和构建方法;4.通过实际搭建电路,验证加法器的正确性。

二、实验原理加法器是一种基于二进制数的逻辑电路,用于将两个二进制数相加并输出结果。

常见的加法器有半加器、全加器和多位加法器等。

本次实验我们将使用全加器来实现两个二进制数的相加。

全加器的输入包括两个待相加的二进制数和一个进位信号(前一位相加的进位),输出为相加结果和进位信号。

全加器的逻辑电路可通过逻辑门的组合实现。

三、实验步骤1.根据实验要求,确定加法器的位数并设计电路结构;2.根据设计的电路结构,确定所需的逻辑门类型和数量;3.根据逻辑门的真值表,确定逻辑门的输入输出关系;4.根据逻辑门的输入输出关系,设计逻辑门的电路图;5.根据设计的逻辑门电路图,搭建实验电路;6.验证电路的正确性,通过输入不同的二进制数进行相加操作,并观察输出结果是否符合预期;7.根据实验结果,总结加法器的工作原理和特点。

四、实验结果与分析通过实验,我们成功地设计并构建了一个加法器电路,并通过输入不同的二进制数进行相加操作。

实验结果表明,加法器能够正确地完成二进制数的相加,输出结果与预期一致。

五、实验总结本次实验通过设计和构建加法器电路,加深了我们对加法器原理和工作方式的理解。

通过实际操作,我们掌握了加法器电路的设计和构建方法,并验证了其正确性。

加法器作为计算机中最基本的逻辑电路之一,其重要性不言而喻。

通过本次实验,我们进一步认识到了加法器在计算机运算和逻辑处理中的重要作用。

六、实验心得通过本次实验,我深刻体会到了电路设计和构建的重要性。

杭电计组实验2-超前进位加法器设计实验

杭电计组实验2-超前进位加法器设计实验

杭州电子科技大学计算机学院
实验报告
实验项目:实验2-超前进位加法器设计实验
课程名称:计算机组成原理与系统结构课程设计
姓名:学号:同组姓名:学号:
实验位置(机号):
实验日期:指导教师:
#100;
A = 1011;
B = 1101;C0 = 0;
#100;
A = 1010;
B = 0010;C0 = 1;
#100;
A = 0111;
B = 1000;C0= 0;
#100;
A = 0011;
B = 0100;C0 = 1;
#100;
A = 1001;
B = 0001;C0 = 0;
#100;
end
Endmodule
RTL图
二、结果
思考题:
(1)综合得到的电路图,它和想要设计的电路在引脚的输入输出方面是完全相同的,但是相比较内部的RTL图,实验实现的结果是相同的,但是我编写的实验代码并没有调用实验一的全加器设计。

(2)尝试编写8位超前进位加法器设计实验
module add_8 ( input [7:0]a, input [7:0]b, input cin, output [7:0] s, output co );
wire [7:0]c_tmp;
wire [7:0]g;
wire [7:0]p;。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

北京科技大学计算机与通信工程学院
实验报告
实验名称:超前进位加法器
学生姓名:
专业:计算机科学与技术
班级:
学号:
指导教师:
实验成绩:
实验地点:
实验时间:2015 年 5 月28 日
一、实验目的与实验要求
1、实验目的
(1)掌握静态随机存储器的基本结构;
(2)掌握静态随机存储器RAM工作特性及数据的读写方法;(3)掌握超前进位加法器的原理及其设计方法;
(4)理解使用超前进位逻辑设计ALU的方法;
(5)掌握乘法器的原理及其设计方法;
(6)熟悉FPGA应用设计及QuartusⅡ软件的使用。

2、实验要求
(1)验证静态随机存储器的读写功能;
(2)用QuartusⅡ软件设计一个超前进位加法器;
(3)用QuartusⅡ软件设计一个阵列乘法器。

二、实验设备(环境)及要求
Window 8,QuartusⅡ软件
三、实验内容与步骤
1、实验1
(1)实验内容
验证静态随机存储的读写功能:
(2)主要步骤
①关闭实验系统电源,在断电状态下按图3.15所示连接实验电路,并检查无误。

②将时序单元的状态开关置为“单步”档,MEM单元的编程开关置为“运行”档。

③将CON单元的IOR开关置为1(使IN单元无输出),打开电源开关,如果听到有“嘀”报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。

④给存储器写入数据:要先写地址,后写数据。

写存储器的流程如图3.16所示(以向00地址单元写入11H为例):
读存储器的流程如图3.17所示(以从00地址单元读出11H为例):
⑤根据对实验原理的描述,以向03H地址单元写入数据33H为例,填写表3.6.中的操作步骤以及相关信号状态。

在以从03H地址单元读取数据为例,填写表3.7中的操作步骤及相关的信号状态。

2、实验2
(1)实验内容
用QuartusⅡ软件设计一个8位超前进位加法器。

(2)主要步骤
①打开Quartus Ⅱ软件,进入软件初始界面;
②通过File→New→Block Diagram/Schematic File选项,建立原理图文件;
③添加原件和连接线:分别在原理图上画出A、B模块,保存原理图文件,通过File→Create/Update→Crate Symbol Files for File选项,把A、B原理图转换为元件符号。

再建立一个原理图文件,按照图3.8接线。

原理图如下:
A模块
B模块
④完成原理图后,过File→New Project Wizard选项,建立一个新工程,在建立时候在Add Files窗口添加原理图文件;
⑤进行功能仿真。

通过Processing→Generate Functional Simulation Netlist选项产生功能仿真网络表。

有了功能仿真网络表,就可以对工程进行功能仿真。

通过File→New或者单击
工具按钮新建一个Vector Waveform File向量波形文件;
⑥在向量波形文件窗口中,在Name下方空白处右击,在弹出的快捷菜单中选择
Insert→Insert Node or Bus菜单项,或者在Name正文空白处双击弹出Insert Node or Bus对话框,单击Node Finder按钮,进行信号的添加;添加a、b、C0,s信号,a、b,s设为十进制值,a、b设置为随机值,C0置零,保存文件;
⑦通过Assignments→Settings选项或者单击工具按钮进行仿真设置;更改Simulation mode 为Functional,选择仿真输入为刚刚新建的向量波形文件。

完成设置后,通过Processing→Start Simulation选项或者单击工具按钮进行功能仿真,仿真结果为下图:
3、实验3
(1)实验内容
用QuartusⅡ软件设计一个4*4阵列乘法器。

(2)主要步骤
①打开Quartus Ⅱ软件,进入软件初始界面;
②通过File→New Project Wizard选项,建立一个新工程;
③通过File→New选项或单击工具按钮,在工程里新建Verilog HDL代码文件,保存文件,在Quartus Ⅱ提供的代码编辑器里进行代码编辑:
module chengfa(a,b,p);
input [3:0]a,b;
output reg [7:0]p;
integer c;
always@(a or b)
begin
{c,p[0]}=a[0]*b[0];
{c,p[1]}=a[1]*b[0]+a[0]*b[1]+c;
{c,p[2]}=a[2]*b[0]+a[1]*b[1]+a[0]*b[2]+c;
{c,p[3]}=a[3]*b[0]+a[2]*b[1]+a[1]*b[2]+a[0]*b[3]+c;
{c,p[4]}=a[3]*b[1]+a[2]*b[2]+a[1]*b[3]+c;
{c,p[5]}=a[3]*b[2]+a[2]*b[3]+c;
{c,p[6]}=a[3]*b[3]+c;
p[7]=c;
end
endmodule
④完成代码编写后,通过Processing →Start →Start Analysis&Synthesis选项或者单击工具按钮进行Quartus Ⅱ工程的语法与综合编译;编译无误后,通过Tools→Netlist Viewers→RTL Viewer选项可以生成综合后的电路结构图;
⑤完成编译与综合后,进行功能仿真。

通过Processing→Generate Functional Simulation Netlist选项产生功能仿真网络表。

有了功能仿真网络表,就可以对工程进行功能仿真。

通过File→New选项或者单击工具按钮新建一个Vector Waveform File向量波形文件;
⑥在向量波形文件窗口中,在Name下方空白处右击,在弹出的快捷菜单中选择
Insert→Insert Node or Bus菜单项,或者在Name正文空白处双击弹出Insert Node or Bus对话框,单击Node Finder按钮,进行信号的添加;添加a、b、p信号,a、b、p设置为十进制值,a、b设置为随机值;保存文件;
⑦通过Assignments→Settings选项或者单击工具按钮进行仿真设置;更改Simulation mode 为Functional,选择仿真输入为刚刚新建的向量波形文件。

完成设置后,通过
Processing→Start Simulation选项或者单击工具按钮进行功能仿真,仿真结果为下图:
四:实验结果与分析
1、实验1
表3.6存储器写操作流程
信号 步骤 IN 单元置地址 地址打入AR IN 单元置输出 数据打入MEM WR 0 0 0 1 RD 0 0 0 0 IOM 0 0 0 0 IOR 0 0 0 0 LDAR 0 1 0 0 T2
按一下
按一下
表3.7存储器读操作流程
信号 步骤 IN
单元置地址 地址打入AR 关闭IN 单元输出 读出MEM 数据 WR 0 0 0 0 RD 0 0 0 1 IOM 0 0 0 0 IOR 0 0 1 1 LDAR 0 1 0 0 T2
按一下
2、实验2
经验证,上图的结果是正确的。

3、实验3
经验证,上图的结果是正确的。

五:结论(讨论)
1、实验结论
(1)基本掌握了静态随机存储器的基本结构;
(2)学会了用实验箱进行静态随机存储器数据的读写;
(3)基本掌握了超前进位加法器的原理及其设计方法;
(4)基本掌握了乘法器的原理及其设计方法。

2、讨论
存在的问题:编写程序的速度太慢了,而且不会把程序下载到实验箱,并且进行接线使用。

改进方向:要多看verilog教程,提高编程速度。

在下次实验中学会实验箱使用方法。

六、教师评审
教师评语实验成绩
签名:
日期:。

相关文档
最新文档