数字动态扫描显示电路的设计

合集下载

单片机实验报告动态数码显示设计

单片机实验报告动态数码显示设计

微机原理与接口技术实验报告实验题目:动态数码显示设计指导老师:朱铭琳班级:计算机科学与技术系1201班姓名:王丹(2012100141)2014年 12月3日实验十三动态数码显示设计一、实验目的1.掌握动态数码显示技术的设计方法。

2.掌握扫描在程序设计中的应用。

二、设计原理如图13.1所示,在单片机的P1端口接动态数码管的字形码笔段,在单片机的P2端口接动态数码管的数位选择端。

在单片机P3.0管脚处接一个开关,当开关连接高电平时,态数码管上显示“12345”字样;当开关连接低电平时,态数码管上显示“HELLO”字样。

三、参考电路图13.1 动态数码显示电路原理图四、电路硬件说明(1)在“单片机系统”区域中,把单片机的P1.0-P1.7端口连接到“动态数码显示”区域中的a-h端口上。

(2)在“单片机系统”区域中,把单片机的P2.0-P2.7端口通过8联拨动拨码开关JP1连接到“动态数码显示”区域中的S1-S8端口上。

(3)在“单片机系统”区域中,把单片机的P3.0端口通过8联拨动拨码开关JP2连接到拨动开关区域中的SW1端口上。

五、程序设计内容(1)动态扫描方法:动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性,看不出显示的闪烁现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。

(2)在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,在每个显示缓冲区装有显示的不同数据即可。

(3)对于显示不同字形码的数据采用查表方法来完成。

六、程序流程图 (如图13.2所示)图13.2 动态数码显示程序流程图七、汇编源程序;;;;;;;;;;入口地址;;;;;;;;;;ORG 0000HLJMP STARTORG 0003HRETIORG 000BHRETIORG 0013HRETIORG 001BHRETIORG 0023HRETIORG 002BHRETI;;;;;;;;;;主程序入口;;;;;;;;;;ORG 0100H START: LCALL PANDUANLCALL XIANSHILJMP START;;;;;;;;;;判断开关的状态;;;;;;;;;;PANDUAN: JB P3.0,SWLCALL DELAY10MSJB P3.0,SWMOV DPTR,#TABLE2SJMP Q1SW: JNB P3.0,PANDUANMOV DPTR,#TABLE1 Q1: RET;;;;;;;;;;显示程序;;;;;;;;;;XIANSHI: MOV R0,#00HMOV R1,#7FH NEXT: MOV A,R0MOVC A,@A+DPTRMOV P0,AMOV A,R1MOV P2,ALCALL DELAYINC R0RR AMOV R1,ACJNE R1,#0FBH,NEXTRET;;;;;;;;;;10ms延时程序;;;;;;;;;;DELAY10MS: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RET;;;;;;;;;;200ms延时程序;;;;;;;;;;DELAY: MOV R5,#20LOOP: LCALL DELAY10MSDJNZ R5,LOOPRET;;;;;;;;;;共阴字母码表;;;;;;;;;;TABLE1: DB 76H,79H,38H,38H,3FH;;;;;;;;;;共阴数码表;;;;;;;;;;;TABLE2: DB 06H,5BH,4FH,66H,6DH;;;;;;;;;;结束标志;;;;;;;;;;;;;END八、C语言源程序#include<AT89X51.H>unsigned char code table1[]={0x06,0x5b,0x4f,0x66,0x6d};unsigned char code table2[]={0x76,0x79,0x38,0x38,0x3f};void main(void){ unsigned char i,j,k,m;while(1){ j=0x7f;for(i=0;i<5;i++) //运行5次取出表中的5个数//{ if(P3_0==0) //如果开关为0,则显示12345// { P0=table1[i]; } //送P1口显示//else //如果开关为1,显示HELLO//{ P0=table2[i]; } //送P1口显示//P2=j; //显示码送入P2口//j=0x7f; //重赋初值//k=j>>(i+1); //右移i+1位//m=j<<(7-i); //左移7-i位//j=k|m;for(k=4;k>0;k--) //每隔一段时间显示一次//for(m=248;m>0;m--);}}}九、注意事项(1)程序中要注意共阴极数码管显示和共阳极数码管显示的区别,本程序在共阴极数码管显示时,要把单排针接插件J4中的跳线帽加到OE和VCC上。

数码管动态显示实验报告

数码管动态显示实验报告

一、实验目的1. 掌握数码管动态扫描显示的原理和编程实现方法;2. 熟悉单片机与数码管之间的接口连接;3. 学会使用定时器中断控制数码管的动态显示;4. 培养动手能力和问题解决能力。

二、实验原理数码管动态显示是通过单片机控制多个数码管同时显示不同的数字或字符,利用人眼的视觉暂留效应,实现快速切换显示内容,从而在有限的引脚数下显示更多的信息。

实验中,我们采用动态扫描的方式,依次点亮数码管,通过定时器中断控制扫描速度。

三、实验器材1. 单片机开发板(如51单片机、AVR单片机等);2. 数码管(共阳/共阴自选);3. 连接线;4. 电阻;5. 实验台;6. 编译器(如Keil、IAR等)。

四、实验步骤1. 设计电路图:根据实验要求,设计单片机与数码管的连接电路图,包括数码管的段码、位选信号、电源等。

2. 编写程序:使用C语言或汇编语言编写程序,实现数码管的动态显示功能。

(1)初始化:设置单片机的工作模式、定时器模式、端口方向等。

(2)显示函数:编写显示函数,实现数码管的点亮和熄灭。

(3)定时器中断服务程序:设置定时器中断,实现数码管的动态扫描。

3. 编译程序:将编写的程序编译成机器码。

4. 烧录程序:将编译后的程序烧录到单片机中。

5. 连接电路:将单片机与数码管连接好,包括数码管的段码、位选信号、电源等。

6. 运行实验:打开电源,观察数码管的显示效果。

五、实验结果与分析1. 实验结果:数码管按照预期实现了动态显示功能,依次点亮每位数码管,并显示出不同的数字或字符。

2. 分析:(1)通过调整定时器中断的周期,可以改变数码管的扫描速度,从而控制显示效果。

(2)在编写显示函数时,要考虑到数码管的共阳/共阴特性,选择合适的点亮和熄灭方式。

(3)在实际应用中,可以根据需要添加其他功能,如显示时间、温度等。

六、实验总结1. 通过本次实验,掌握了数码管动态显示的原理和编程实现方法。

2. 熟悉了单片机与数码管之间的接口连接,提高了动手能力。

数码管动态扫描实验报告

数码管动态扫描实验报告

数码管动态扫描实验一、实验目的学习计数器的设计、分析合测试方法。

学习硬件扫描显示电路的设计方法。

二、实验仪器1、PC机2、SW-51PROC单片机综合实验平台三、实验内容编写一段程序,用单片机P0口和P2口的I/O输出去控制8位的数码管显示,实现如下功能:使数码管上显示1、2、3、4、5、6、7、8。

四、实验步骤:1、用Protues设计数码管动态扫描显示电路;2、在KeilC51中编写识别程序,通过后与Protues联合调试;3、启动仿真,观察数码管显示是否正确;4、用Protues设计脉冲计数电路,仿真调试、运行程序并查看效果。

五、电路设计及调试:1、实验电路:2、程序设计与调试:①实验程序:【12345678】#include<reg52.h>#define uintunsigned int#define ucharunsigned charuchar codeDisplsy[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80}; uchar codeTemble[]={0,1,2,3,4,5,6,7};void delay(uint z){uchar t;while(z--) for(t=120;t>0;t--);}void main(){uchar i;P0=0xff;P1=0;while(1){if(i==8)i=0;P1=Temble[i];P0=~Displsy[i+1];i++;delay(2);}}【脉冲计时】#include<reg52.h>#define uintunsigned int#define ucharunsigned charucharcodeDisplay[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uchar codeTemble[]={0,1,2,3,4,5,6,7};uchar Flag;uint Num;void delay(uint z){uchar t;while(z--) for(t=120;t>0;t--);}voidTest2(void){TR1 = 1;while(1){Num = TH1;Num = Num << 8;Num |= TL1;P1 = 7;P0 = ~Display[Num%10];delay(3);P1 = 6;P0 = ~Display[Num%100/10];delay(3);P1 = 5;P0 = ~Display[Num%1000/100];delay(3);P1 = 4;P0 = ~Display[Num%10000/1000];delay(3);P1 = 3;P0 = ~Display[Num%100000/10000];delay(3);P1 = 2;P0 = ~Display[Num%1000000/100000];delay(3);P1 = 1;P0 = ~Display[Num%10000000/1000000];delay(3);P1 = 0;P0 = ~Display[Num%100000000/1000000];delay(3);}}void main(){TMOD = 0x50;TH1 = 0x00;TL1 = 0x00;EA = 1;ET1 = 1;TR1 = 0;while(1){Test2();}}②调试:③实验结果:1、数字1~8分别显示在8个数码管上,显示无闪烁。

实验三 数码管动态显示程序设计1综述

实验三 数码管动态显示程序设计1综述

实验三数码管动态显示程序设计实验目的1、理解数码管动态显示原理2、理解数码管动态显示电路的设计方法3、掌握数码管动态显示程序的设计方法实验仪器单片机开发板、万利仿真机、稳压电源、计算机实验内容1、动态扫描显示程序2、特征位小数点控制显示程序实验电路图实验步骤及调试信息1、新建实验项目2、输入实验程序并补充完整;------------------------------------------------------;数码管动态显示程序;包含小数点显示;位选码输出有两种方式:; DispSelection1: 一次一位; DispSelection2: 一次一字节;; 2009-08-20;-------------------------------------------------------LEDCLK bit P3.4LEDDIN bit P2.3LEDDATA data P0dseg at 30hdispbuf: ds 8 ;显示缓冲区8字节disppoint: ds 1 ;小数点控制数据maincode SEGMENT CODECSEG at 0LJMP StartRSEG maincodeStart: CLR E Amov sp,#0c0hmov dispbuf ,#08hmov dispbuf+1, #04hmov dispbuf+2, #00hmov dispbuf+3, #08hmov dispbuf+4, #02hmov dispbuf+5, #00hmov dispbuf+6, #02hmov dispbuf+7, #07hmov disppoint,#02h ;第2位小数点亮LCALL dispSJMP $-3;------------------------------------------------------;数码管动态显示程序;包含小数点显示;位选码输出有两种方式:; DispSelection1: 一次一位; DispSelection2: 一次一字节;; 2009-08-20;-------------------------------------------------------Disp: MOV R7,#8MOV R0,#dispbufCLR LEDCLKSETB L EDDINDisp1: MOV A,@R0MOV DPTR,#DispTabMOVC A,@A+DPTRcpl a; LCALL Dispdot ;显示小数点程序MOV LEDDA TA,A ;在输出之前加入显示小数点程序LCALL DispSelection1 ;输出位选择信号,DispSelection2是第二种; lcall dispsel3LCALL Delay1msmov p2,#0ffhINC R0DJNZ R7,Disp1mov p2,#0ffhRET;-------------------------------------------------;位选码以一次一位方式输出;-------------------------------------------------dispsel3:mov dptr,#DispSTabmov a,r7movc a,@a+dptrcpl a; swap amov p2,aretDispSelection1:CJNE R7,#8,DispSelection11CLR L EDDINDispSelection11:SETB LEDCLKNOPCLR L EDCLKSETB LEDDINRET;-------------------------------------------------;位选码以一次一字节方式输出;-------------------------------------------------DispSelection2:MOV B,#8MOV DPTR,#DispSTabMOV A,R7MOVC A,@A+DPTRCPL ADispSelection21:RLC AMOV LEDDIN,CSETB LEDCLKNOPCLR L EDCLKDJNZ B,DispSelection21RETDispSTab: DB 00H,80H,20H,40H,10H,08H,04H,02H,01H;位选码数据表dispa equ 80h ;数码管各段数据定义dispb equ 40hdispc equ 20hdispd equ 10hdispe equ 08hdispf equ 04hdispg equ 02hdisph equ 01hdisp8 equ 0ffh-disphDispTab: db disp8-dispg,dispb+dispc,dispa+dispb+dispg+dispd+dispe ;0,1,2 db disp8-dispe-dispf,disp8-dispa-dispd-dispe,disp8-dispb-dispe;3,4,5db disp8-dispb,dispa+dispb+dispc,disp8,disp8-dispe ;6,7,8,9db disp8-dispd,disp8-dispa-dispb,disp8-dispb-dispc-dispg ;a,b,cdb disp8-dispa-dispf,disp8-dispb-dispc,disp8-dispb-dispc-dispd;d,e,fdb disp8-dispb-dispc,0ffh,00h,dispg ;H,全亮,全暗,-;--------------------------------------------------------------------;特征位小数点控制显示程序;把小数点显示程序加到显示码输出之前;输入:A : 显示译码值; R7:当前正在显示的LED编号;输出:无;--------------------------------------------------------------------Dispdot:MOV B,AMOV A,R7MOV DPTR,#DispSTabMOVC A,@A+DPTRANL A,disppointSETB C ;本行及以下4行可改成MOV C,PJZ Dispdot1CLR C;点亮小数点Dispdot1:CPL C ;本行根据情况增减MOV A,BCPL AMOV ACC.7,C ;小数点由D7控制; MOV LEDDA TA,A ;本行可以删除RETDelayNms:LCALL Delay1msDJNZ R7, $-3RETDelay1ms:PUSH 07MOV R7,#250 ;1msNOPNOPDJNZ R7, $-2POP 07RETEND3、编译下载实验程序,并修改错误(按附录说明)4、全速运行程序,查看实验现象。

专题七动态扫描电路设计

专题七动态扫描电路设计
ELSE NUM<=0; END IF; END IF; END PROCESS; Process(num) begin CASE NUM IS WHEN 1=>C<="11111110"; WHEN 2=> C<="11111101"; WHEN 3=>C<="11111011"; WHEN 4=> C<="11110111"; WHEN 5=>C<="11101111"; WHEN 6=> C<="11011111"; WHEN 7=>C<="10111111"; WHEN 0=> C<="01111111"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END A;
Q[7..0] RD 读数据控制
ARCHITECTURE a OF MEMO_RD_WR IS
SIGNAL Q0,Q1,Q2,Q3: STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL Q4,Q5,Q6,Q7: STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
扫描控制器时序图
ARCHITECTURE a OF SCAN_8 IS SIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;
BEGIN PROCESS(CLK2)
BEGIN IF CLK2'EVENT AND CLK2=‘1' THEN
IF NUM<=7 THEN NUM<=NUM+1;

多位LED-显示器动态扫描驱动电路设计完整版

多位LED-显示器动态扫描驱动电路设计完整版

《多位LED 显示器动态扫描驱动电路》报告(一)目的:1、了解多位LED 显示器动态扫描驱动电路的基本工作原理;2、完成多位LED 显示器动态扫描驱动电路设计并分析计算单元电路;3、绘制多位LED 显示器动态扫描驱动电路图,针对工作原理进行参数估算;4、电路功能的检测和调试;5、设计答辩,完成设计报告。

(二)结构图(三)电路总体功能概述该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。

由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。

首先用555定时器构成频率为1000赫兹多谐振荡器产生脉冲信号,再将信号传输到74构成的二位二进制触发器,使该触发器输出00,01,10,11。

将触发器的两输出端分别接到数据选择器153的S1,S0,将四个输入D0或D1D2D3信号传输到LED显示电路,使LED显示不同数字,将触发器的两输出端接到138的A1,A0(A2接0),再将138的输出Y0,Y1,Y2,Y3接到四个LED的共阴极,控制LED的显示状态,把74ls153的输出端与cc4511的四个输入端相连,把LED显示器的a,b,c,d,e,f,g与cc4511的输出端相连,这样便可以通过555定时器产生的脉冲控制四个LED的显示顺序,同时调整153的输入端的高低电位,这样便实现了对四个LED的显示控制,可随意现实0至9的数字。

振荡电路由555定时器构成的多谐振荡电路组成,有振荡电路提供脉冲,振荡周期T=Tpl+Tph=R1*C*ln2+(R1+R2)*C*ln2=0.001s,则其振荡频率为1000Hz,多谐振荡器在接通电源后无需外接触发信号就可以产生矩形脉冲或方波。

控制电路是一片74LS74构成的两位二进制触发器,它接收到控制电路的振荡脉冲,产生00,01,10,11的输出信号。

数据选择器由两片74LS153构成,其功能为四选一数据选择器,接收控制电路的输出信号,分别选择四个输入D0或D1或D2或D3信号传输到LED显示电路,使LED显示不同数字译码驱动电路由一片八选一的数据选择器74LS138组成,它接受控制电路输出的信号同时将自身的输出信号分别连接到4片LED的共阴极控制LED显示器的工作状态.显示电路由一片4511构成,其接收74LS153输出的信号分别使四个LED显示器显示8421BCD码所代表的十进制数。

动态扫描显示电路实验的设计与实现

动态扫描显示电路实验的设计与实现
Ke r s D n mi a n n ip a ;r g c u tr 7 L 4 d o e y wo d : y a c s n i g d s ly i o ne ; 4 S 7 e d r c n c
1 引 言
在多位 L D显示 时 。为 了简化硬件 电路 。通 E
文章编号 :17 4 5 {0 8 0 — 05— 2 62— 5 0 20 ) 1 0 8 0
De in a d Re lz to fDy a i c n i g Dip a r u tEx e i e t sg n aia in o n m c S a n n s ly Cic i p rm n
( 下转第 9 5页)
维普资讯
第 6卷
第1 期
Epr et c ne eho g xe m n Si c &Tcnl y i e o
・ 5・ 9
( 上接第 8 5页 )
YU Ja g in
( hs sadEet n fr ai cec e atet eh ec esC lg ,Lsa 6 40 ,C ia P yi n lc oi I om t nSineD pr n,Ls a T ahr ol e ehn 10 4 hn ) c r cn o m n e
Ab t a t Dy a c s a n n i ly t c n l g a e n w d l p  ̄ d F ra n—d p h u d r tn i g o s w r i g p n i l sr c : n mi c n i g d s a e h oo y h s b e i ey a p e . o n i p e t n esa d n f i o k n r c pe, t i h u rd sg sa s t mp ea d e e i i i a i e e c t f p cf e in a t e a t o e in e f i l n f t e cr u t d sr sa d s rp in o e s e i c d sg d i l me tt n mo a i e .Th h os c v c n i o h t i n mp e n a o d l is i t e d sg f ii li tg ae i u t , a d t e d sg ft e e p r n r u c sf lat mp e i o g t n e r td cr i n d a c s n e i o x e me t e a s c e su t h n h i a e t.

多位LED显示器动态扫描驱动电路设计

多位LED显示器动态扫描驱动电路设计

《多位LED显示器动态扫描驱动电路》报告(一)目的:1、了解多位LED显示器动态扫描驱动电路的基本工作原理;2、完成多位LED显示器动态扫描驱动电路设计并分析计算单元电路;3、绘制多位LED显示器动态扫描驱动电路图,针对工作原理进行参数估算;4、电路功能的检测和调试;5、设计答辩,完成设计报告。

(二)结构图ID 2D JD ID紀LED就tl懈醴帆髓用(三)电路总体功能概述该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。

由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。

首先用555定时器构成频率为1000赫兹多谐振荡器产生脉冲信号,再将信号传输到74构成的二位二进制触发器,使该触发器输出00,01,10, 11。

将触发器的两输出端分别接到数据选择器153的S1,S0,将四个输入D0或D1D2D3信号传输到LED显示电路,使LED显示不同数字,将触发器的两输出端接到138的A1,A0 (A2接0),再将138 的输出Y0,Y1,Y2,Y3接到四个LED的共阴极,控制LED的显示状态,把74IS153的输出端与CC4511的四个输入端相连,把LED显示器的a,b,c,d,e,f,g与CC4511的输出端相连,这样便可以通过555定时器产生的脉冲控制四个LED的显示顺序,同时调整153的输入端的高低电位,这样便实现了对四个LED的显示控制,可随意现实0至9的数字。

振荡电路由555定时器构成的多谐振荡电路组成,有振荡电路提供脉冲,振荡周期T=Tpl+Tph=R1*C*ln2+ (R1+R2)*C*ln2=0・001s,则其振荡频率为1000Hz,多谐振荡器在接通电源后无需外接触发信号就可以产生矩形脉冲或方波。

控制电路是一片74LS74构成的两位二进制触发器,它接收到控制电路的振荡脉冲,产生00,01,10,11的输出信号。

七段数码管的动态扫描显示实验

七段数码管的动态扫描显示实验

七段数码管的动态扫描显示实验七段数码管的动态扫描显示实验一、实验名称:七段数码管的动态扫描显示实验二、实验目的:(1)进一步熟悉QuartusII软件进行FPGA设计的流程(2)掌握利用宏功能模块进行常用的计数器,译码器的设计(3)学习和了解动态扫描数码管的工作原理的程序设计方法三、实验原理:实验板上常用4位联体的共阳极7段数码管,其接口电路是把所有数码管的8个笔划段a-h同名端连在一起,而每一个数码管由一个独立的公共极COM端控制。

当向数码管发送字形码时,所有数码管都接收到相同的字形码时,但究竟是那个数码管亮,取决于COM端,这一端是由I/O控制的,所以就可以自行决定何时显示哪一位。

动态扫描即采用分时方法,轮流控制各个LED轮流点亮。

在轮流点亮扫描过程中,每一位显示器的点亮时间是极为短暂的,但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上每个显示器并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。

四、实验要求:实现显示0000-9999的十进制计数器。

五、实验步骤1.建立工程建立名为leddisplay的工程,并建立顶层图。

2.设计技术时钟设计一分频器,对50MHz分频输出到计数器,让计数器以较慢速度递增。

打开File..New,新建一个.v文件。

输入以下程序: module int_div(clk, div_out); input clk;output reg div_out; reg [31:0] clk_div;parameter CLK_FREQ = 'D50_000_000; //系统时钟50MHz parameterDCLK_FREQ = 'D10; //输出频率10/2Hz always @(posedge clk) beginif(clk_div Set as top-level Entity。

分析该设计文件:执行工具栏处的“Start Analysis &Synthesis命令按钮,开始分析综合,此步骤在这里用于检查设计错误。

数码管动态扫描实验报告

数码管动态扫描实验报告

数码管动态扫描实验报告数码管动态扫描实验报告引言:数码管是一种常见的显示器件,广泛应用于电子设备中。

动态扫描技术是一种常见的驱动数码管的方法。

本实验旨在通过动态扫描技术实现数码管的显示,并对其原理进行深入研究。

一、实验目的本实验的主要目的是掌握数码管的动态扫描原理,并通过实践验证其可行性。

具体目标如下:1. 理解数码管的基本工作原理;2. 熟悉动态扫描技术的实现方法;3. 掌握使用单片机驱动数码管的方法;4. 通过实验验证动态扫描技术的可行性。

二、实验器材与原理1. 实验器材:- 单片机开发板;- 4位共阳数码管;- 连接线。

2. 实验原理:数码管是由多个发光二极管组成的,每个发光二极管对应一个数字或符号。

共阳数码管的阳极连接在一起,而阴极分别与单片机的IO口相连。

动态扫描技术是通过快速切换数码管的显示,从而形成连续的显示效果。

具体原理如下:- 单片机通过IO口输出高电平或低电平控制数码管的显示;- 通过快速切换数码管的显示,使得人眼感觉到数码管同时显示多个数字。

三、实验步骤1. 连接电路:将4位共阳数码管的阳极分别连接到单片机的IO口,阴极连接到GND。

确保连接正确,避免短路或接反。

2. 编写程序:使用单片机开发板的编程软件,编写程序控制数码管的显示。

通过循环控制IO 口输出高低电平,实现动态扫描的效果。

3. 上传程序:将编写好的程序上传到单片机开发板中,确保程序能够正确运行。

4. 运行实验:将单片机开发板连接到电源,观察数码管的显示效果。

通过动态扫描技术,数码管会以一定的频率显示不同的数字。

四、实验结果与分析通过实验,我们成功实现了数码管的动态扫描显示。

数码管以一定的频率切换显示不同的数字,形成了连续的显示效果。

通过改变程序中的循环次数和延时时间,我们可以调整数码管显示的速度和亮度。

动态扫描技术的优点是可以通过少量IO口驱动多个数码管,节省了硬件资源。

同时,由于数码管的刷新速度较快,人眼无法察觉到闪烁的现象,使得显示效果更加平滑和稳定。

实验二动态扫描显示电路设计

实验二动态扫描显示电路设计

实验二动态扫描显示电路设计一、设计要求1、设计要求设计一个四位LED数码显示动态扫描控制电路,显示4位十进制数或4字母的单词,要求显示内容可以通过按键切换。

2、硬件环境LP-2900开发装置的LED数码管为共阴显示器,六个显示器的七个段控制a~g及小数点dp分别对应相连,各显示器的共阴极分别由一个3线-8线译码器74138的输出Y0~Y5控制。

译码器的3位输入码分别由FPGA的I/O端口DE3、DE2、DE1控制,如图1所示。

图1 LP-2900开发装置FPGA与LED数码显示器的电路连接3线-8线译码器的3位输入码DE3、DE2、DE1为“000”~“101”时,输出Y0~Y5中有一个为0,FPGA的a~g端口将控制共阴极为0的数码管显示。

比如,当DE3、DE2、DE1为“011”时,Y3=0,数码管C4显示。

二、设计原理分析多位七段显示器的控制分为静态和动态扫描两种方法。

静态驱动方法是将所有显示器的公共端都接有效电平,各位显示器的段控制信号互不相干,分别控制。

这样,n位显示器需要7×n个控制信号(不包括小数点),即需要FPGA的56个I/O口对其进行控制。

动态扫描方法是将所有显示器的各个段控制端(a、b…、g、dp)一一对应连接,而各显示器的公共端COM由位扫描信号分别控制。

这样,n位显示器只需要8+n个控制信号(包括小数点)。

比如,LP-2900开发装置上B区的6个共阴显示器采用了动态扫描驱动方式,6个共阴端C1~C6由通过一个3线-8线译码器分时控制,电路原理如图1所示。

这样FPGA 只需要11个I/O口,其中8个控制段信号、3个输出二进制码(“000”~“101”)控制C1~C6。

1.动态显示扫描控制动态扫描驱动电路中所有的显示器由相同的段信号控制,公共端有效的显示器将显示相同的字符。

所以,要使各显示器显示不同的内容,必须控制它们的公共端分时轮流有效。

每个显示器只在其公共端为有效电平时根据段码信号显示相应的字符,公共端无效时灭显。

6位数码管动态扫描电路的设计 verilog hdl

6位数码管动态扫描电路的设计 verilog hdl

6位数码管动态扫描电路的设计verilog hdl在 Verilog HDL 中,可以使用状态机来实现 6 位数码管的动态扫描电路设计。

以下是一个简单的示例代码:```verilogmodule dynamic_scan(input clk,input [5:0] data,output [6:0] seg);// 定义状态枚举typedef enum {IDLE,DISPLAY} state;// 定义状态变量state current_state, next_state;// 输出信号寄存器reg [6:0] seg_r;// 状态转换逻辑always @(posedge clk) begincurrent_state <= next_state;end// 状态机下一状态逻辑always @(*) begincase (current_state)IDLE: beginif (data[0] == 1'b1) beginnext_state = DISPLAY;end else beginnext_state = IDLE;endendDISPLAY: beginnext_state = IDLE;endendcaseend// 数码管显示逻辑always @(posedge clk) begincase (current_state)IDLE: beginseg_r = 7'b1111111;endDISPLAY: beginseg_r = data;endendcaseend// 输出数码管段选信号assign seg = seg_r;endmodule```在上述代码中,我们使用了一个状态机来控制数码管的显示。

在`IDLE`状态下,数码管不显示任何数字。

当`data[0]`为高电平时,状态机切换到`DISPLAY`状态,开始显示数字。

在`DISPLAY`状态下,我们将输入的`data`值赋值给`seg_r`,并通过`seg`输出到数码管。

实验七单片机数码管动态扫描显示实验

实验七单片机数码管动态扫描显示实验

实验七数码管动态扫描显示实验一、实验目的1.掌握keilC51软件protues软件联合仿真调试的方法;2.掌握单片机对数码管的动态显示控制方式;3.掌握定时器的基本应用及编程方法。

二、实验内容1.用Protues设计一8位数码管动态扫描显示电路。

要求利用P0口作数码管的段选线,P1.0~P1.2与74LS138译码器的3个输入端相连,其译码输出Y0~Y7作为数码管的位选线。

2.编写程序,将数字1~8分别显示在8个数码管上,要求显示内容无闪烁。

3.编写程序,利用Protues中的“激励源/DCLOCK/数字类型/时钟”产生频率为1HZ的方波输出,并利用定时/计数器T1统计脉冲的个数,将统计结果动态实时的显示在数码管上。

该脉冲计数电路在以上电路的基础上自行修改。

三、实验仪器与设备1.微机一台2.keil c51 集成开发环境3.proteus 仿真软件四、实验说明1.动态扫描方法:(1)动态扫描法是对各数码管循环扫描、轮流显示的方法。

由于一次只能让一个数码管显示,因此,要显示8位的数据,必须让数码管一个一个轮流显示才可以,同时每个数码管显示的时间大约在1ms到4ms之间,所以为了保证正确显示,每隔1ms,就得刷新一个数码管。

当扫描显示频率较高时,利用人眼的视觉暂留特性,看不出闪烁现象,这种显示需要一个接口完成字形码的输出(段选),另一接口完成各数码管的轮流点亮(位选)。

(2)在进行数码管显示的时候,要对显示单元开辟8个显示缓冲区,每个显示缓冲区装有显示的不同数据即可。

(3)对于显示的字形码数据采用查表方法来完成。

2.P0口P0口作为地址/数据总线使用时是一个真正的双向端口;而作通用I/O口时,只是一个准双向口,由于其内部漏极开路,应外接10KΩ的上拉电阻,否则无法输出高电平。

3.74LS138:3线—8线译码器引脚排列:Vcc Y0 Y1 Y2 Y3 Y4 Y5 Y6A0 A1 A2 S3 S2 S1 Y7 GND1.用Protues设计数码管动态扫描显示电路;2.在KeilC51中编写键盘识别程序,编译通过后,于Protues联合调试;3.启动仿真,观察数码管显示是否正确;4.用Protues设计脉冲计数电路,仿真调试`运行程序并查看效果。

8位十进制数码动态扫描电路设计报告资料

8位十进制数码动态扫描电路设计报告资料

设计报告课程名称电子技术基础I任课教师设计题目8位十进制数动态扫描显示控制电路班级8位十进制数数码动态扫描电路设计简介:所谓动态扫描显示,就是让各位LED按照一定的顺序轮流地发光显示。

只要每秒扫描次数大于24次以上,就观察不到闪烁现象,人眼看起来很稳定。

静态扫描显示与动态显示相比,有显著降低LED功耗,大大减少LED的外部引线等优点。

目前动态扫描显示技术已经被广泛应用于新型数字仪表、智能仪器和智能显示屏中。

本次课程实践中运用QuartusII软件,采用VHDL文本设计和原理图相结合的层次化方式实现数码8位动态扫描显示电路设计。

首先,分别用VHDL语言编写8位数码扫描显示电路程序和分频器程序,作为底层文件;顶层文件用原理图的设计方法,调用底层文件生成的符号,从而实现动态扫描显示。

用VHDL设计一个8位数码扫描显示电路,利用QuartusII9.0进行编辑输入、编译及时序仿真。

其中,由于分频器的分频系数过大时,在仿真波形上很难看出波形的变化,如本设计是从100MHz分频到1KHz,分频系数为一万,所以可以通过改变减小分频系数,如改为10分频,就得到变化的波形,来验证数码动态扫描显示电路设计的正误。

一、工作原理1、8位动态扫描显示的工作原理:输入信号:时钟信号CLK。

输出控制信号:段控制信号SG[6..0];位控制控制信号BT[7..0]。

8位数码管,其中每个数码管的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连接在一起,8个数码管分别由8个选通信号k1~k8来选择。

被选通的数码管显示数据,其余关闭。

如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅为k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。

根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。

数码管动态扫描显示实验综述

数码管动态扫描显示实验综述

实验三定时器和中断实验一、实验目的1、学习51单片机内部定时器的使用方法。

2、掌握中断处理程序的方法。

3、掌握数码管与单片机的连接方法和简单显示编程方法。

4、学习和理解数码管动态扫描的工作原理。

二、实验内容1、使用定时器T0,定时1秒,控制P1口发光管循环点亮。

2、使用定时器T0,定时1秒,控制1个数码管循环显示数字0~9,每秒钟数字加一。

3、使用软件定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。

4、使用定时器T0,定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。

三、实验电路图四、实验说明1、数码管的基本概念(1)段码数码管中的每一段相当于一个发光二极管,8段数码管则具有8个发光二极管。

本次实验使用的是共阴数码管,公共端是1、6,公共端置0,则某段选线置1相应的段就亮。

公共端1控制左面的数码管;公共端6控制右面的数码管。

正面看数码管的引脚、段选线和数据线的对应关系为:图1 数码管封装图图2 数据线与数码管管脚连接关系段码是指在数码管显示某一数字或字符时,在数码管各段所对应的引脚上所加的高低电平按顺序排列所组成的一个数字,它与数码管的类型(共阴、共阳)(2)位码位码也叫位选,用于选中某一位数码管。

在实验图中要使第一个数码管显示数据,应在公共端1上加低电平,即使P2.7口为0,而公共端6上加高电平,即使P2.6口为1。

位码与段码一样和硬件连接有关。

(3)拉电流与灌电流单片机的I/O 口与其他电路连接时,I/O 电流的流向有两种情况:一种是当该I/O 口为高电平时,电流从单片机往外流,称作拉电流;另一种是该I/O 口为低电平时,电流往单片机内流,称为灌电流。

一般I/O 的灌电流负载能力远大于拉电流负载能力,对于一般的51 单片机而言,拉电流最大4mA,灌电流为20mA。

一般在数码管显示电路中采用灌电流方式(用共阳数码管),可以得到更高的亮度。

本实验电路中采用拉电流方式(用共阴数码管)。

四位LED显示器动态扫描电路

四位LED显示器动态扫描电路

通信工程专业《数字电路》课程设计四位LED动态扫描设计目录1前言(引言)随着计算机技术和电子技术的飞速发展和广泛应用,电器设备的输出显示技术也变得复杂多样,诸如CRT显示、LCD显示、多位LED显示及发光二极管显示等应运而生。

在这些显示当中,LED及发光二极管显示电路较为简单,成本也较低,在功能单一的仪器仪表与机电设备中应用较广。

但当设备显示的点或位较多时,就需要采用一定的驱动电路与相应的驱动方式。

通过我们所学的数字电路,模拟电路,设计一个电路,实现一些功能。

此次设计锻炼我们的动手能力,解决问题的能力!2设计任务及方案论证用四位编码开关编码,将编出来的数字(0~9)以动态扫描的形式显示在LED数码管上,并且能够调节扫描频率。

1.通过编码开关,编出0000~9999的数字。

2.通过两个四选一的选择开关(74LS153),选择输出位数。

3.将选择输出的四位进行排序,接入数码管译码器(C4511)。

4.将对应的编码通过译码器显示在数码管上。

5.由于要求动态扫描:5.1接入一个时钟脉冲。

产生时钟脉冲需要接入555多些振荡器。

5.2产生的CP脉冲,通过计数器产生00~11的二进制数。

5.3两位二进制数与四选一选择开关和2—4的计数器同步,产生1110,1101,1011,0111的四位二进制数作为数码管的驱动电压。

将设计的电路,经过理论计算,做出电路板,进行调试,从而来验证试验设计的真确性。

3电路设计原理与实验电路3.1设计任务及要求利用数字集成电路(如:74LS353、48、139、393,NE555等)和分立元件设计一个四位LED显示器动态扫描驱动电路。

(1)基本要求①显示范围:0000~9999;②显示方式:LED显示;③扫描频率:1Hz~1000Hz连续可调;④可预置数:0000~9999。

(2)发挥部分①扫描频率:1Hz~1000Hz连续可调;②自制符合要求电源。

3.2 设计方案通过编码开关对0到9的数字进行编码,送入四选一的选择器(74LS153),通过由低位到高位的排序,将选择的数字传入到译码器(74HC4511)中,并通过输出中间级使其数据传送到LED七段数码显示管。

动态扫描实验报告总结(3篇)

动态扫描实验报告总结(3篇)

第1篇一、实验背景随着科技的不断发展,数码管在电子设备中的应用越来越广泛。

动态扫描数码管作为一种高效、实用的显示方式,在电子设备中扮演着重要角色。

本实验旨在通过设计并实现动态扫描数码管电路,深入了解动态扫描原理,掌握动态扫描数码管的设计与实现方法。

二、实验目的1. 理解动态扫描数码管的工作原理。

2. 掌握动态扫描数码管电路的设计方法。

3. 学会使用Verilog HDL进行层次化设计电路。

4. 通过仿真验证动态扫描数码管电路的正确性。

三、实验内容1. 动态扫描数码管原理介绍动态扫描数码管由多个七段数码管组成,通过位选线和段选线分别控制数码管的位和段。

动态扫描数码管显示时,先选中某个数码管,然后显示该数码管的段码,随后快速切换到下一个数码管,重复此过程,使多个数码管轮流显示,从而实现多位数码管的显示。

2. 动态扫描数码管电路设计本实验采用Verilog HDL进行动态扫描数码管电路的设计。

主要设计内容包括:(1)位选信号生成模块:生成动态扫描数码管的位选信号,控制数码管的显示顺序。

(2)段选信号生成模块:生成动态扫描数码管的段选信号,控制数码管的显示内容。

(3)七段数码管驱动模块:将段选信号和位选信号转换为数码管的段码,驱动数码管显示。

3. 动态扫描数码管电路仿真使用Verilog HDL进行动态扫描数码管电路的仿真,验证电路的正确性。

主要仿真内容包括:(1)位选信号和段选信号的波形分析。

(2)数码管显示内容的波形分析。

(3)动态扫描数码管电路的整体性能分析。

四、实验结果与分析1. 仿真结果分析通过仿真实验,验证了动态扫描数码管电路的正确性。

位选信号和段选信号波形正常,数码管显示内容正确,动态扫描数码管电路整体性能良好。

2. 实验结果分析(1)动态扫描数码管电路设计过程中,位选信号和段选信号生成模块是关键部分。

位选信号需要满足轮流显示的要求,段选信号需要满足数码管显示内容的要求。

(2)动态扫描数码管电路在实现多位数码管显示时,可以有效减少引脚数量,降低硬件成本。

动态扫描显示电路实验的设计与实现

动态扫描显示电路实验的设计与实现

从无到有:动态扫描显示电路实验的设计与实现动态扫描显示电路是一种常见的电子数字显示技术,其原理是通过快速切换LED单元,从而在观察者眼中呈现出一组数字、字母或符号。

对于初学者而言,常常难以理解其设计和实现过程。

下面将介绍如何从无到有,完成一款具有生动效果的动态扫描显示电路。

一、材料准备1. 数字电路芯片:CD4017B、CD4060B或CD4059B2. LED单元,数量视设计而定。

建议使用3mm或5mm大小的LED。

3. 电源电容:10uF、100uF或470uF电容器。

建议使用50V及以上的规格。

4. 电阻器:220R、1k或10k电阻器,数量视设计而定。

5. 面包板或印制电路板。

6. 其他辅助器件:按钮、开关、电源头等组件。

二、电路设计1. 先了解CD4017B芯片的工作原理。

该芯片是一种低功耗CMOS数码集成电路,具有10位二进制计数器和十个输出端口。

2. 将LED单元连接到芯片对应的输出端口上。

根据需要,可在输出端口前串联电阻器进行电流调节,提高LED的寿命。

3. 通过连接按钮或开关控制器,将CD4017B芯片与CD4060B或CD4059B锁相环组合起来。

锁相环负责调节输出频率,使得LED单元在显示时呈现出连续、动态的效果。

4. 完成电路板的设计和布局。

对于初学者而言,建议使用面包板进行调试,待电路稳定后再将其焊接至印刷电路板上。

三、实验过程1. 将准备好的材料按照电路设计图进行连接。

2. 将电路接入电源头。

确定连接正确、正常工作。

3. 通过按下按钮或开关,观察电路中各个LED单元的状态变化。

调整输出频率使LED单元显示更加流畅。

4. 对电路进行优化,并记录其中的问题、瓶颈及解决方案。

5. 可以在此基础上继续扩展,如添加蜂鸣器、数字显示屏等元件,并将其整合到一起,构建出完整的数字电路系统。

本实验旨在帮助初学者掌握基本的数字电路原理,并能够熟练地设计、调试出具有生动、实用的动态扫描显示电路。

单片机课程设计-8位8段LED数码管动态扫描显示

单片机课程设计-8位8段LED数码管动态扫描显示

华南理工大学广州汽车学院单片机课程设计题目:8位8段LED数码管动态扫描专业:电子信息工程班级:09电信(1)班姓名:付锦辉学号:200930062745一、内容要求:在8位8段LED数码管显示“8.8.8.8.8.8.8.8.”持续500ms,之后灭显示器200ms;然后显示“WELCOM-1”(由于8位8段LED数码管显示不能显示字母W 和M,所以改为显示“HELLO-93”)二、目的和意义1、掌握数码管动态扫描显示原理及实现方法。

2、掌握动态扫描显示电路驱动程序的编写方法。

三、总体方案设计思路LED数码动态显示的基本做法在于分时轮流选通数码管的公共端,使得各数码管轮流导通,再选通相应的数码管后,即显示字段上得到显示字形码。

这种方式数码管的发光效率,而且由于各个数码管的字段线是并联使用的,从而大大简化了硬件线路。

动态扫描显示接口是单片机系统中应用最为广泛的一种显示方式。

其接口电路是把所有显示器的8个笔画段A-DP同名端并联在一起,而每个显示器的公共极COM各自独立地接受I/O线控制,CPU向字段输出口送出字段形码是,所有显示器由于同名端并连接收到相同的字形码,但究竟是哪个显示器亮,则取决于COM端,而这一端是由I/O控制的,所以就可以自行决定何时显示哪一位了。

而所谓动态扫描是指采用分时的方法,轮流控制各个显示器的COM端,使各个显示器轮流点亮。

再轮流点亮扫描过程中,每位显示器的点亮时间是极为短暂的(约1ms),但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上个位显示器并非同时点亮,但只要扫描的速度足够快,给人的影响就是一组稳定的显示数据,不会有闪烁感。

采用总线驱动器74HC245提供LED数码管的段驱动,输出高电平时点亮相应段;采用集电极开路的BCD-十进制译码器/驱动器完成LED数码管位驱动,输出低电平时选通相应位。

P2口每个口线输出灌电流不足以驱动一个数码管显示器的位-公共极,所依通过集电极开路的BCD-十进制译码器/驱动器7445驱动,即节约P2口线,又增加驱动能力。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、概述数字动态扫描显示电路是主要由实现信号输入和输出的译码器、LED 数码管、555多谐振荡器,节拍发生电路实现动态显示频率的振荡器与部分模拟器件构成的一种电子产品,显示则由四位LED 数码管显示,该数字动态扫描显示电路的功能是实现显示动态输入的四位数字。

数字动态扫描显示电路是由固定频率的信号做为节拍发生起器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。

当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。

二、方案论证设计一个动态扫描显示电路能够使四位数码管按照一定的顺序轮流地发光显示。

方案一:方案一原理框图如图1所示。

图1 动态扫描显示电路的原理框图方案二:方案二原理框图如图2所示。

图2 动态扫描显示电路数字开关编码器振荡器 计数选择器 显示器译码器振荡器 节拍发生器 译码器 显示电路本设计采用的是方案二,数字动态扫描显示主要由节拍发生器,译码器,振荡器,和显示器组成。

中间实现数值的译码,显示器则实现数字的输出,相应的数字就在相应的LED 数码管显示,当开关拔开时则相应的数码管熄灭。

数字动态扫描显示电路是由固定频率的信号做为节拍发生器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。

当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。

三、电路设计1振荡电路为了避免出现闪烁现象,扫描频率不能太低,人眼的临界闪烁是50HZ ,一般可将显示位数乘以50HZ ,作为节拍发生器的时钟。

图3 多谐振荡电路多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。

“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。

多谐振荡器没有稳态,只有两个暂稳态。

在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。

用555定时器构成的多谐振荡器图中电容C 、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。

定时器的触发输入端(2脚)和阀值输入(6脚)与电容相连;集电极开路输出端(7脚)接R1、R 相连处,用以控制电容C 的充、放电;外界控制输入端(5脚)通过0.01uF 电容接地。

电路接通电源的瞬间,由于电容C 来不及充电,Vc=0v ,所以555定时器状态为1,输出Vo 为高电平。

同时,集电极输出端(7脚)对地断开,电源Vcc 对电容C 充电,电路进入暂稳,此后,电路周而复始地产生周期性的输出脉冲。

多谐振荡器两个暂稳态的维持时间取决于RC 充、放电回路的参数。

暂稳态Ⅰ的维持时间,即输出Vo 的正向脉冲宽度T1≈0.7(R1+R2)C ;暂稳态Ⅱ的维持时间,即输出Vo 的负向脉冲宽度T2≈0.7R2C 。

因此,振荡周期T=T1+T2=0.7(R1+2R2)C ,555_VIRTUALTimerGNDDISOUTRST VCCTHR CONTRI103.1k¦¸R1206.1k¦¸R2clk10nFC10nFCf10VVs8741振荡频率f=1/T 。

正向脉冲宽度T1与振荡周期T 之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D ≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。

这里选用555震荡器构成的多谐振荡器输出的脉冲作为节拍发生器的时钟..这里显示的是四位数字,所以本设计中取振荡器频率为280HZ 2.节拍发生器4017是十进制计数/分频器,它的内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是Q0、Q1、Q2、…、Q9依次出现与时钟同步的高电平,宽度等于时钟周期。

CD4017有10个输出端(Q0~Q9)和1个进位输出端~Q5-9。

每输入10计数脉冲,~Q5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

CD4017有3个输(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时其输出Q0为高电平,其余输出端(Q1~Q9)均为低电平。

CP0和~CPl 是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl 端输入。

设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。

CD4017有两个时钟端CP 和EN ,若用时钟脉冲的上沿计数,则信号从 CP 端输入;若用下降沿计数,则信号从 EN 端输入。

它的各输出端轮流输出高电平,这样可控制与计数器相连的译码器输出,从而控制数码管动态显示数据.具体电路由具有10个译码输出端的计数器4017实现,这里只显示4位数字,所以4017的前四个输出端,由清零端MR 控制Q1~Q3四个引脚轮流的输出高电平。

当计数器计到四时,Q4引脚输出高电平使MR 为高电平,计数器清零.如此循环,可驱动数码管轮流发光显示相应的数字. 3.译码器译码器使用的是共阴极译码器74SL48.将Q0~Q3分别接到四个译码器的BI 消隐输入端,当哪一个引脚的输出为高电平时,该位译码器为高电平,可以驱动相应数码管发光显示。

其它的则暂时不发光(注意用共阴极译码管时要接要接与之相对应的电阻,)图4 4017构成节拍发U14017BT_10VO0 3 O1 2 O2 4 O3 7~CP1 13 MR 15CP014 O4 10 O5 1 O6 5 O7 6 O8 9O9 11 ~O5-9 121Clk74LS48显示译码器是用来驱动显示器件的,以显示数字或字符的MSI 部件。

显示译码器随显示器件的类型而异,与数码管相配的是BCD 十进制译码器,而常用的发光二极管(LED )数码管、液晶数码管、荧光数码管等是由7个或8个字段构成字形的,因而与之相配的有BCD 七段或BCD 八段显示译码器。

U274LS48DA 7B 1C 2D6O A 13O D 10O E 9O F 15O C 11O B 12O G14~L T 3~R B I 5~B I /R B O 4G N D8V C C 16图5 译码器74LS48BCD 七段译码器的输入是一位BCD 码(以D 、C 、B 、A 表示),输出是数码管各段的驱动信号(以OA ~OG 表示)也称4----7译码器。

若用它驱动共阴LED 数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。

例如,当输入DCBA=0100时,应显示4,即要求同时点亮b 、c 、f 、g 段,熄灭a 、d 、e 段,故译码器的输出应为OA ~OG=0110011,这也是一组代码,常称为段码。

同理,根据组成0~9这10个字形的要求可以列出8421BCD 七段译码器的真值表,见表1。

表1 74LS48真值表E1 E2+E3 A B C Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 x 1 1 1 1 1 1 1 1x 1 0 0 0 0 0 0 0 0x x x x x x 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 04.数码管LED 数码管实际上是由七个发光管组成7字形构成的。

这些段分别由字母a,b,c,d,e,f,g,dp 来表示。

当数码管特定的段加上电压后,这些特定的段就会发亮,以形成我们眼睛看到的2个8数码管字样了。

如:显示一个“2”字,那么应当是a 亮b 亮g 亮e 亮d 亮f 不亮c 不亮dp 不亮。

LED 数码管有一般亮和超亮等不同之分,也有0.5寸、1寸等不同的尺寸。

小尺寸数码管的显示笔画常用一个发光二极管组成,而大尺寸的数码管由二个或多个发光二极管组成,一般情况下,单个发光二极管的管压降为1.8V左右,电流不超过30mA。

发光二极管的阳极连接到一起连接到电源正极的称为共阳数码管,发光二极管的阴极连接到一起连接到电源负极的称为共阴数码管。

常用LED数码管显示的数字和字符是0、1、2、3、4、5、6、7、8、9、A.B、C、D、E、F。

七段LED显示器也称数码管,是具有发光二极管组成的一个阵列,七段LDE共有九条引脚,其中a b c d e f g dp八条引脚为二极管显示引脚,最后一条引脚为数码管的驱动引脚。

U6A B C D E F GCK图6 LED数码管常用的LED显示器有共阳极和共阴极两种结构,共阳极就是第几脚引脚输入信号为高电平时,相应的二极管就发亮,如a=1,则a发光二极管发光,共阴极就恰恰相反,输入信号为低电平时,相应引脚踩发亮。

本实验采用的是共阴极(阴极真值表见表2)。

表2 显示真值表数字 A B C D E F G0 1 2 3 4 5 6 7 8 9 1 1 1 1 1 1 00 1 1 0 0 0 01 1 0 1 1 0 1 1 1 1 1 0 0 10 1 1 0 0 1 11 0 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1四、性能的测试1.多谐振荡电路测试表1 多谐振荡电路测试数据表R1值(kΩ)R2值(kΩ)C值(μF)频率(Hz)周期(s)103.1 206.2 0.01uF280 0.0035调试振荡器电路(可用示波器观察振荡器电路产生的信号)。

图7 示波器显示周期2. 节拍发生器电路测试调试节拍发生器电路,将振荡电路产生的信号加入到节拍发生器的时钟输入端,用示波器观察各引脚的输出。

3. 数码显示电路调试数码显示电路。

给数码管接相应数字看其显示的二进制数字是否正确。

五、结论该设计的功能是用于显示四位数字的动态扫描显示。

只要地址变化周期大于24次/秒,人的眼睛就无明显闪烁感,就能实现动态扫描显示。

动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。

相关文档
最新文档