实验三 数码管扫描显示电路
数码管的显示的实验报告
数码管的显示的实验报告数码管的显示的实验报告引言:数码管是一种常见的数字显示装置,广泛应用于各种电子设备中。
本实验旨在通过实际操作,了解数码管的原理和工作方式,并通过一系列实验验证其显示效果和功能。
实验一:数码管的基本原理数码管是由多个发光二极管(LED)组成的,每个发光二极管代表一个数字或符号。
通过对不同的发光二极管进行点亮或熄灭,可以显示出不同的数字或符号。
本实验使用的是共阳数码管,即共阳极连接在一起,而阴极分别连接到控制芯片的输出引脚。
实验二:数码管的驱动电路为了控制数码管的显示,需要使用驱动电路。
常见的驱动电路有共阴极驱动和共阳极驱动两种。
本实验使用的是共阳极驱动电路。
驱动电路由控制芯片、电阻和电容组成。
控制芯片通过控制输出引脚的高低电平来控制数码管的点亮和熄灭。
实验三:数码管的显示效果通过控制芯片的输出引脚,可以实现数码管的显示效果。
本实验使用的是四位数码管,可以显示0-9的数字。
通过改变控制芯片输出引脚的电平,可以控制数码管显示不同的数字。
实验中通过编写程序,使数码管显示从0到9的数字循环显示,并通过按键控制数字的增加和减少。
实验四:数码管的多位显示除了显示单个数字外,数码管还可以实现多位显示。
通过控制不同位数的数码管,可以显示更多的数字或符号。
本实验使用的是四位数码管,可以同时显示四个数字。
通过编写程序,可以实现四位数码管的多位显示,例如显示当前时间、温度等信息。
实验五:数码管的亮度调节数码管的亮度可以通过改变驱动电路中的电阻值来实现。
本实验通过改变电阻值,调节数码管的亮度。
实验中通过编写程序,通过按键控制数码管的亮度增加和减少,从而实现亮度的调节。
结论:通过本次实验,我们深入了解了数码管的原理和工作方式。
数码管可以通过驱动电路的控制,实现数字和符号的显示。
同时,数码管还可以实现多位显示和亮度调节。
数码管作为一种常见的数字显示装置,具有广泛的应用前景,可以应用于各种电子设备中。
通过进一步的研究和实践,我们可以更好地利用数码管的功能,满足不同应用场景的需求。
数码管动态显示实训报告
一、实训目的本次实训旨在通过实际操作,让学生掌握数码管动态显示的原理,了解数码管动态扫描显示电路的设计方法,提高学生使用Verilog HDL进行层次化设计电路的能力。
通过实训,学生能够理解并应用动态扫描显示数码管、数据选择器及其信号分配方法,同时熟悉使用可编程芯片(如FPGA/CPLD)控制多位动态扫描数码管的显示。
二、实训环境1. 实训设备:FPGA开发板、数码管、信号源、示波器等。
2. 软件工具:Quartus II、ModelSim等。
3. 实训教材:相关电子设计教材、Verilog HDL编程指南。
三、实训原理数码管动态显示技术是利用人眼的视觉暂留效应,通过快速切换显示不同的数码管,使观察者感觉多个数码管同时显示。
具体原理如下:1. 数码管结构:数码管由若干个LED段组成,通过点亮不同的段来显示数字或字符。
2. 共阳/共阴数码管:数码管分为共阳和共阴两种类型。
共阳数码管的阳极连接在一起,共阴数码管的阴极连接在一起。
3. 动态扫描:通过控制每个数码管的点亮和熄灭,实现多位数码管的动态显示。
4. 数据选择器:用于选择要显示的数字或字符对应的段编码。
四、实训过程1. 设计3位数码管动态扫描显示电路:- 使用Verilog HDL设计数码管显示模块,包括段编码生成、位选控制、时钟分频等。
- 设计数据选择器,用于选择要显示的数字或字符对应的段编码。
- 设计主控制器,用于控制动态扫描的时序。
2. 实现显示功能:- 将学号的后3位数字输入到数码管显示电路中。
- 使用可编程芯片(如FPGA/CPLD)实现电路的编译和下载。
3. 提高性实验:- 增加一个功能切换控制开关,实现数码管显示数字的自动循环移位。
- 设计其他显示功能,如显示不同的字符或图案。
4. 实验测试:- 使用示波器观察数码管显示电路的时序信号,确保电路正常工作。
- 使用Quartus II进行仿真测试,验证电路的功能。
五、实验结果与分析1. 3位数码管动态扫描显示电路:- 成功实现了学号后3位数字的动态显示。
数电实验报告数码管显示控制电路设计
数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。
实验器材:数码管、集成电路、电阻、开关、电源等。
实验原理:数码管是一种用它们来显示数字和字母的一种装置。
它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。
对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。
实验步骤:1.确定数码管的类型和接线方式。
本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。
2.选取适当的集成电路作为显示控制电路。
本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。
3.连接电路。
将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。
将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。
4.设置微控制器的输出。
通过编程或手动设置微控制器的输出端口来控制数字的显示。
根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。
通过适当的延时控制,便可以实现数字的连续显示。
实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。
当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。
通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。
实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。
通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。
这对于数字显示系统的设计和开发具有重要意义。
实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。
数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。
掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。
通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。
数码管显示实验 实验报告
数码管显示实验实验报告一、实验目的本次数码管显示实验的主要目的是深入了解数码管的工作原理和显示控制方式,通过实际操作掌握数码管与微控制器的接口技术,并能够编写相应的程序实现各种数字和字符的显示。
二、实验原理数码管是一种由多个发光二极管组成的显示器件,常见的有共阴数码管和共阳数码管两种类型。
共阴数码管是将所有发光二极管的阴极连接在一起,当阳极接高电平时,相应的二极管发光;共阳数码管则是将所有发光二极管的阳极连接在一起,当阴极接低电平时,相应的二极管发光。
在控制数码管显示时,通常采用动态扫描的方式,即依次快速地给每个数码管的段选端送入相应的字形码,同时使位选端选通对应的数码管,利用人眼的视觉暂留效应,使人看起来好像所有数码管同时在显示。
三、实验设备与材料1、实验开发板2、数码管模块3、杜邦线若干4、电脑5、编程软件四、实验步骤1、硬件连接将数码管模块与实验开发板进行连接,确定好段选和位选引脚的连接。
检查连接是否牢固,确保电路无短路或断路现象。
2、软件编程打开编程软件,选择相应的开发板型号和编程语言。
定义数码管的段选和位选引脚。
编写控制程序,实现数字 0 到 9 的循环显示。
3、编译与下载对编写好的程序进行编译,检查是否有语法错误。
将编译成功的程序下载到实验开发板上。
4、观察实验现象接通实验开发板的电源,观察数码管的显示情况。
检查显示的数字是否正确,显示的亮度和稳定性是否符合要求。
五、实验结果与分析1、实验结果数码管能够正常显示数字 0 到 9,并且能够按照设定的频率循环显示。
显示的数字清晰、稳定,没有出现闪烁或模糊的现象。
2、结果分析程序编写正确,能够准确地控制数码管的段选和位选信号,实现数字的显示。
动态扫描的频率设置合理,既保证了显示的稳定性,又不会出现明显的闪烁。
六、实验中遇到的问题及解决方法1、问题数码管显示出现闪烁现象。
解决方法调整动态扫描的频率,增加扫描的速度,减少每个数码管的点亮时间,从而减轻闪烁现象。
三位数码管扫描显示电路实验报告
电子实验一 实验报告实验日期: 2013 年 10 月 16 日学 号: 201208010224 姓 名:曾浩然实验名称: 三位数码管扫描显示电路总 分:实验设计方案实验框图:文字说明:该实验采用的软件工具是Quartus II 软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA 试验箱。
多位数码管显示电路由显示字符的段选信号和选通数码管的位选信号控制。
各位数码管共用8位段选信号的电路结构使得同一时刻选通的所有数码管显示相同字符。
通过采用动态扫描现实方式,可以“同时”显示出多位数码管的字符。
只要每位数码管显示间隔足够短,再加上人眼视觉暂留效应及数码管余辉特性,人眼观察多位数码管“同时”显示本位字符。
为了控制数码管的扫描显示,设计了4个模块,分别为模4计数器、3选1多路选择器、2-3译码电路和七段译码器。
最后在顶层电路图里将他们连接起来,实现数码管的动态扫描显示。
各模块作用:● 模4计数器通过接收时钟的脉冲电压,输入信号00,01,10,11.● 2-3译码电路接收模4计数器的信号,并输出100,010,001的信号,用以控制数码管的位选扫描。
● 3选1多路选择器接收模4计数器的信号以及电平按键的信号,用来扫描3组电平按键的信号并选择输出其中一组。
● 七段译码器接收3选1多路选择器的输出的电平按键信号,转化为7位二进制码输出,用以控制数码管的段选。
另外,还添加了一些非门和输入输出,使其它数码管处于熄灭状态。
selCounter4decoder2-3notmux4_3_1decoder_7_partclk seldin0 din1 din2bsgdoutqa qb qc qd qe qf qg顶层电路图:功能验证波形图:数据记录:0-50ns50-100ns100-150ns150-200ns200-250ns din0D D D D Ddin122222din233333qabcdefg01111010111101011110111011011101101250-300ns300-350ns350-400ns400-450nsdin0D D D Ddin12222din23333qabcdefg1111001111100111110011111001仿真结论:波形仿真逻辑功能验证正确,符合电路设计的预期的现象。
数码管显示和键盘扫描实验资料
实验三LED数码管动态显示及4 X4 键盘控制实验一、实验目的1.巩固多位数码管动态显示方法。
2.掌握行扫描法矩阵式按键的处理方法。
3.熟练应用AT89S52学习板实验装置,进一步掌握keil C51的使用方法。
二、实验内容使用AT89S52学习板上的4位LED数码管和4 X 4矩阵键盘阵列做多位数码管动态显示及行扫描法键盘处理功能实验。
用P0口做数据输出,利用P1做锁存器74HC573的锁存允许控制,编写程序使4位LED数码管按照动态显示方式显示一定的数字;按照行扫描法编写程序对4 X 4矩阵键盘阵列进行定期扫描,计算键值并在数码管上显示。
三、实验系统组成及工作原理1.4位LED数码管和4 X 4矩阵键盘阵列电路原理图2.多位数码管动态显示方式a b c d e f g dp com a b c d e f g dpcoma b c d e f g dpcoma b c d e f g dpcomD0IO(2)IO(1)说明4位共阴极LED动态显示3456数字的工作过程首先由I/O口(1)送出数字3的段选码4FH即数据01001111到4个LED共同的段选线上,接着由I/O口(2)送出位选码××××0111到位选线上,其中数据的高4位为无效的×,唯有送入左边第一个LED的COM端D3为低电平“0”,因此只有该LED的发光管因阳极接受到高电平“1”的g、d、c、b、a段有电流流过而被点亮,也就是显示出数字3,而其余3个LED因其COM端均为高电平“1”而无法点亮;显示一定时间后,再由I/O口(1)送出数字4的段选码66H即01100110到段选线上,接着由I/O 口(2)送出点亮左边第二个LED的位选码××××1011到位选线上,此时只有该LED的发光管因阳极接受到高电平“1”的g、f、c、b段有电流流过因而被点亮,也就是显示出数字4,而其余3位LED不亮;如此再依次送出第三个LED、第四个LED的段选与位选的扫描代码,就能一一分别点亮各个LED,使4个LED从左至右依次显示3、4、5、6。
三维数码管扫描显示实验报告
实验报告实验日期:2013 年10 月9 日学号:姓名:实验名称:三维数码管扫描显示总分:实验设计方案:1.原理图三维数码管扫描显示电路原理:设计出模4计数器、三选一多路选择器和2-3译码器,并由这三个模块和7段译码器设计3位数码管扫描显示电路。
模4计数器:对4取模,输入信号的频率被4分频。
三选一多路选择器:通过控制电路实现3路4位数据的选择输出。
2-3译码器:data输入为00时,译出seg为100;data输入为01时,译出seg为010;其他情况译为001。
2.功能验证波形图:数码管扫描显示电路scan_led3延时时序仿真波形描述:方形波,din0,din1,din2,bsg,qa~qg逻辑关系正确,时序仿真延时。
仿真结论:Bsg逻辑输出:100-010-001-100-010······,逻辑关系正确。
qa~qg逻辑输出:0110000-1101101-1111001-0110000-······,逻辑关系正确。
3.硬件验证芯片分配:FLEX10K-EPF10K20TI144-4管脚分配:clk:125;din2[3..0]:72, 73, 78, 79;din1[3..0]:82, 83, 92, 95;din0[3..0]:86, 87, 88, 89;bsg[2..0]:100, 101, 102;qa~qg:51, 49, 48 ,47, 46, 44, 43.4.实验日志:2013年10月9号Q1:count4编译时出现2error,1warningA1:原因是课本不够清楚,把q[1..0]看成了q[1.0].改了之后error没了。
2013年10月11号Q1:创建各分模块的符号文件.bsf时,不能创建mux4_3_1.bsf?A1:原因是没打开mux4_3_1.vhd文件。
数码管扫描显示控制器设计与实现——北京邮电大学数字电路实验报告
北京邮电大学实验报告实验名称:数码管扫描显示控制器设计与实现学院: 信息与通信工程学院班ﻩ级: 2010211123姓名: XXX学ﻩ号:XXXXXXXX日期: 2012年4月20日目录一.实验目的 .................................................................................. 错误!未定义书签。
二.实验所用仪器及元器件ﻩ错误!未定义书签。
三.实验任务要求........................................................................... 错误!未定义书签。
四.实验设计思路及过程ﻩ31.实验原理 (3)2.设计思路ﻩ错误!未定义书签。
3.VHDL代码ﻩ4五.仿真波形及分析ﻩ81.仿真波形 (8)2.波形分析ﻩ9六.故障及问题分析 (9)1.频率设置问题ﻩ92.触发问题 ............................................................................................ 错误!未定义书签。
七.本实验总结与结论ﻩ错误!未定义书签。
八.学期总结ﻩ错误!未定义书签。
一.实验目的1.掌握VHDL语言的语法规范,掌握时序电路描述方法2.掌握多个数码管动态扫描显示的原理及设计方法二.实验所用仪器及元器件1.计算机2.直流稳压电源3.数字系统与逻辑设计实验开发板三.实验任务要求用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0,1,2,3,4,5这六个不同的数字图形到六个数码管上,仿真下载验证其功能。
四.实验设计思路及过程1.实验原理为使得输入控制电路简单且易于实现,采用动态扫描的方式实现设计要求。
动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码.各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。
实验三 八段数码管显示
实验三八段数码管显示一、实验要求利用实验仪提供的显示电路,动态显示一行数据.二、实验目的1.了解数码管动态显示的原理。
2.了解用总线方式控制数码管显示三、实验线路及连线这里只是显示草图,详细原理参见图1四、实验说明1.本实验仪提供了6 位8 段码LED 显示电路,学生只要按地址输出相应数据,就可以实现对显示器的控制。
显示共有6位,用动态方式显示。
8 位段码、6位位码是由两片74LS374输出。
位码经MC1413或ULN2003倒相驱动后,选择相应显示位。
本实验仪中 8 位段码输出地址为0X004H,位码输出地址为 0X002H。
此处X 是由KEY/LED CS 决定,参见地址译码。
做键盘和LED实验时,需将KEY/LED CS 接到相应的地址译码上。
以便用相应的地址来访问。
例如,将KEY/LED CS 接到CS0 上,则段码地址为08004H,位码地址为08002H。
五、程序框图MAIN:MOV A,#02HMOV 79H,AMOV A,#00HMOV 7AH,AMOV A,#01HMOV 7BH,AMOV A,#03HMOV 7CH,AMOV A,#01HMOV 7DH,AMOV A,#02HMOV 7EH,ADIR: MOV R0,#79H ;置缓冲器指针初值MOV R3,#01H ;位选码初值送R3MOV A,R3LD0: MOV DPTR,#8002HMOVX @DPTR,AINC DPTRINC DPTRMOV A,@R0 ;显示数据ADD A,#0FH ;加偏移量MOVC A,@A+PC ;根据显示数据查表取段码DIRI:MOVX @DPTR,AACALL DelayINC R0 ;显示数据指针指向下一个数据单元MOV A,R3JB Acc.5,LD1 ;判断是否扫描到最左边的LED灯,如是则返回 RL A ;位选码左移一位,让显示位左边的下一位LED亮 MOV R3,A ;位选码送R3中保存AJMP LD0LD1:LJMP MAINDSE:DB 3FH,06H,5BH,4FH,66H,6DH ;共阴极段码表Delay:MOV R7,#02H ;延时1ms子程序DL: MOV R6,#0FFHDL6: DJNZ R6,DL6DJNZ R7,DLRETEND通过这次实验我学会了用LED动态显示数据的设计和实现。
数码管扫描显示电路报告
实验二数码管扫描显示电路一、实验目的采用混合模式的工程设计方法完成数码管扫描显示电路.二、实验内容用模4计数器,3选1多路选择器,2-3译码电路以及7段译码器通过混合模式的工程设计方法实现数码管扫描显示电路.三、实验方法实验方法:采用基于FPGA进行数字逻辑电路设计的方法。
采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。
四、实验过程相关截图1.将设计源文件counter.bdf mux4_3_1.vhd decoder2-3复制到新建工程所在文件内1)模4计数器电路2)3选1多路选择器VHDL代码library IEEE;use IEEE.std_logic_1164.all;entity mux4_3_1 isport(d0,d1,d2:in STD_LOGIC_VECTOR(3 downto 0);sel:in STD_LOGIC_VECTOR(1 downto 0);dout:out STD_LOGIC_VECTOR(3 downto 0));end mux4_3_1;architecture rtl of mux4_3_1 isbegindout<= d0 when sel="00" elsed1 when sel="01" elsed2;end rtl;3)2-3译码电路2.建立顶层bdf文件,放置原件5)管脚分配clk : 125din2[3..0] : 83 82 81 80din1[3..0] : 79 78 73 72din0[3..0] : 70 69 68 67bsg[2..0] : 64 63 62qa~qg : 135 132 131 130 121 1206)仿真验证7)编程配置及硬件测试按照前面所述方法对该设计进行编程配置下载,实验平台上可观察到3位数码管均正确稳定显示3.基于混合模式的工程设计1)新建文件名为scan_led的工程项目2)新建4位的3选1多路选择器,模4计数器,2-3译码器模块,并完成其相应的配置如下图3)完成顶层设计,编译,仿真验证,分配管教,编程配置与硬件测试验证完成五、实验结论及思考实验中,工程文件名和顶层实体名必须一致,否则会出错。
数码管扫描
实验总结:数码管扫描显示电路一、实验前准备了解数码管扫描的方式,理解数码管扫描的原理和方法。
二、实验目的用VHDL设计一个8位数码扫描显示电路,数码管扫描显示0-F之间的数字,可以显示其他值(注意需转换城BCD码)。
三、实验原理与实验内容数码管在CLK频率下工作,眼睛看到的显示字符就是电路在CLK下多次扫描得到的,人眼认为一直显示的字符,实际上它在很短的时间内多次显示,因为人眼的视觉暂留,我们认为数码管一直亮着。
8位数码扫描显示的电路符号如下图(2)所示。
输入信号:时钟信号clk。
输出信号:段显示控制信号 seg[7:0];数码管地址控制信号 cnt8[2:0]。
四、实验代码。
module scan_led(seg,scan,clk);input clk;output [7:0] seg,scan;reg [7:0] seg,scan;reg [2:0] cnt8;reg [3:0] data;always @(posedge clk) begincnt8<=cnt8+1;endalways begincase(cnt8[2:0])3'b000 : begin scan<='b01111111;data[3:0]<=4'b0001;end3'b001 : begin scan<='b10111111;data[3:0]<=4'b0010;end3'b010 : begin scan<='b11011111;data[3:0]<=4'b0000;end3'b011 : begin scan<='b11101111;data[3:0]<=4'b1110;end3'b100 : begin scan<='b11110111;data[3:0]<=4'b0110;end3'b101 : begin scan<='b11111011;data[3:0]<=4'b1100;end3'b110 : begin scan<='b11111101;data[3:0]<=4'b1011;end3'b111 : begin scan<='b11111110;data[3:0]<=4'b1010;enddefault : begin scan<='bx;data[3:0]<='bx;endendcasecase(data[3:0])4'b0000 : seg[7:0]<=8'b11000000; 4'b0001 : seg[7:0]<=8'b11111001; 4'b0010 : seg[7:0]<=8'b10100100; 4'b0011 : seg[7:0]<=8'b10110000; 4'b0100 : seg[7:0]<=8'b10011001; 4'b0101 : seg[7:0]<=8'b10010010; 4'b0110 : seg[7:0]<=8'b10000010; 4'b0111 : seg[7:0]<=8'b11111000; 4'b1000 : seg[7:0]<=8'b10000000; 4'b1001 : seg[7:0]<=8'b10010000; 4'b1010 : seg[7:0]<=8'b10001000; 4'b1011 : seg[7:0]<=8'b10000011; 4'b1100 : seg[7:0]<=8'b11000110; 4'b1101 : seg[7:0]<=8'b10100001; 4'b1110 : seg[7:0]<=8'b10000110; 4'b1111 : seg[7:0]<=8'b10001110; default : seg[7:0]<='bx; endcaseendendmodule。
EDA 技术:EDA实验3 扫描显示电路设计
下载步骤 2、选择start按钮,如果有错,检查电缆是否 连接正确。
注意: 由于本实验箱没有配置器件,每次重 新上电后必须要重新下载!!!
4、根据连接的引脚进行管脚分配(Assign\pin)
5、重新编译
6、打开实验箱电源,选择tools\programmer 开始 下载程序
下载步骤
1、选择下载文件(后缀为sof的文件,文件名与实体名一致)
开始下载 按钮
选择下载 文件
选择下载 电缆
选择下载 模式
下载文件电缆的选择
选择下载电缆,在Hardware Settings一栏内 选择Add Hardware…后,再选择ByteBlaster MV
选择
计数器
4
十
显示 4 译码显示 7
(BCD输
4值
出) 百
... 其它5路
全0显示
SelTmp[2..0]
Clk
数码管
Sel[2..0]
扫描
实验步骤
1、用VHDL完成上述功能
2、进行时序仿真
3、打开实验箱,用导线连接相关引脚(连接时关 掉电源)
3、选择器件(EPF10K10LC84-4) (Assign\device)
实验三 扫描显示电路设计
实验要求
1、了解实验系统箱中数码管显示模块 的工作原理,学习使用实验箱。
2、设计显示信号选择及扫描控制电路。 将实验二中的计数值用数码管显示出来。
3、当计数器计数到自己的学号+100后, 计数器停止计数。按复位键后重新计数。
原理框图
Reset En Clk3
4
模1000 个
数电实验报告 实验三 译码显示电路
数电实验报告实验三译码显示电路姓名:学号:班级:院系:指导老师:2016年目录实验目的: (2)实验器件与仪器: (2)实验原理: (3)实验内容: (7)实验过程: (8)实验总结: (9)实验:实验目的:1.掌握中规模集成译码器的逻辑功能和使用方法2.熟悉数码管的使用实验器件与仪器:1.数字电路实验箱、数字万用表、示波器2.器件:74LS48、74LS194、74LS73、74LS00实验原理:1.数码显示译码器(1)七段发光二极管(LED)数码管LED数码管是目前最常用的数字显示器,一个LED数码管可用来显示一位0~9十进制数和一个小数点。
小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随现实光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。
LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。
(2)B CD码7段译码驱动器此类译码器有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码存锁/七段译码/驱动器。
驱动共阴极LED数码管。
A0、A1、A2、A3—BCD码输入端a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LED数码管。
LT—灯测试输入端,LT= “0”时,译码输出全为“1”RBI—灭零输入端,RBI= “0”时,不显示多余的零。
BI/RBO—作为输入使用时,灭灯输入控制项。
作为输出端使用时,灭零输出端。
2.扫描式显示对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。
对于某些系统输出的数据,应用扫描式译码显示,可使电路大为简化。
利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。
有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选路信号控制多路开关,先后送出(由高位到低位或由低位到高位)以为十进制的BCD码,如图(三)所示。
数码管动态扫描显示实验综述
实验三准时器和中止实验一、实验目的1、学习 51 单片机内部准时器的使用方法。
2、掌握中止办理程序的方法。
3、掌握数码管与单片机的连结方法和简单显示编程方法。
4、学习和理解数码管动向扫描的工作原理。
二、实验内容1、使用准时器T0,准时1秒,控制P1口发光管循环点亮。
2、使用准时器 T0,准时 1 秒,控制 1 个数码管循环显示数字 0~9,每秒钟数字加一。
3、使用软件准时 1 秒,控制 2 个数码管循环显示秒数 0~59,每秒钟数字加一。
4、使用准时器 T0,准时 1 秒,控制 2 个数码管循环显示秒数 0~59,每秒钟数字加一。
三、实验电路图四、实验说明1、数码管的基本观点(1)段码数码管中的每一段相当于一个发光二极管, 8 段数码管则拥有 8 个发光二极管。
本次实验使用的是共阴数码管,公共端是 1、6,公共端置 0,则某段选线置 1 相应的段就亮。
公共端1 控制左面的数码管;公共端6 控制右边的数码管。
正面看数码管的引脚、段选线和数据线的对应关系为:图1数码管封装图图2数据线与数码管管脚连结关系段码是指在数码管显示某一数字或字符时,在数码管各段所对应的引脚上所加的高低电平按次序摆列所构成的一个数字,它与数码管的种类(共阴、共阳)和与数据线的连结次序相关。
对应数据线D7D6D5D4D3D2D1D0对应显示段e f DP g c d b a显示数字段码00CFH11001111103H0000001125DH0101110135BH01011011493H1001001150DAH1101101060DEH11011110743H0100001180DFH1101111190DBH11011011( 2)位码位码也叫位选,用于选中某一位数码管。
在实验图中要使第一个数码管显示数据,应在公共端 1上加低电平,即便 P2.7 口为 0,而公共端 6上加高电平,即便口为 1。
位码与段码相同和硬件连结相关。
实验三译码显示电路
实验三译码显示电路实验三译码显示电路译码显示电路是由多个数字输入端口和一个显示端口构成的数字电路。
该电路将数字输入信号转换为相应的字形或字符形式,并通过显示端口输出,是数字系统中非常重要的部分。
本实验将学习到译码显示电路的基本原理、组成要素、设计方法以及实际应用。
下面将从以下几个方面进行详细的介绍:一、数码管的原理数码管是数字电路中最常见的数字输出设备之一,它是使用半导体技术制成的一种电子元件。
数码管通常由8个发光二极管(LED)组成,每个发光二极管对应一个数字位,它们可以组合成不同的数字和字母字符以显示在屏幕上。
数码管按照共阳和共阴两种方式区分,其中共阳数码管的阳极连接在信号源上,共阴数码管的阴极连接在信号源上。
二、二进制代码在数字系统中,数字信息通常使用二进制代码进行表示和存储。
二进制代码是由二个符号“0”和“1”组成的数字系统。
它利用位权值原理表示数字大小,其中位权从右往左每增加一位,权重就翻倍。
例如,一个8位的二进制代码可以表示0~255之间的任意数字。
三、译码芯片译码芯片是一种数字电路芯片,它能够将输入的二进制信号转换为对应的字符或数字形式,并通过数码管进行显示。
常见的译码芯片有7447、7448、74148等。
其中,7447是一个4位二进制-7段数码管译码器芯片,7448是一个BCD-7段数码管译码器芯片,74148是一个8位二进制-3线-8线译码器芯片。
这些译码芯片的功能相似,但使用的方式略有不同,需要根据实际情况进行选择。
四、译码显示电路的设计译码显示电路的设计可以分为两个主要步骤:电路分析和电路设计。
电路分析包括对数字信号或数字代码的分析、对数码管和译码芯片等元件的分析,制定电路图和逻辑关系等步骤;电路设计则包括对电路图细节的调整、对元件的选择和连接方式的确定,以及对电路的测试和调试。
在进行电路设计时,还需要考虑电路的功耗、稳定性和可靠性等因素。
五、译码显示电路的应用译码显示电路广泛应用于各种数字系统中,如计算机、计算器、智能卡、微控制器等。
数码管扫描显示原理与应用
数码管扫描显示原理与应用实验板上一共有六个数码管,如果按照传统的数码管驱动方式,则需要六个七段译码器进行驱动,这样既浪费资源,又使电路工作不可靠。
所以现在最常见的数码管驱动电路为动态扫描显示方式,这样可以节省电路资源,只需一个BCD七段译码器就可以实现电路正常、可靠的工作。
因此,传统的一个译码器驱动一个数码管的电路模式已经不适用了。
数码管扫描显示的工作原理如下:六个数码管在同一时间进行显示可用两种不同的方式获得:第一就是传统的方式,一个数码管一个译码及驱动电路;第二种方式是利用人眼的视觉暂留效应,把六个数码管按一定顺序(从左至右或从右至左)循环进行点亮,当点亮的频率(即扫描频率)很低时,我们看到的是数码管一个个的点亮;然而,当点亮频率足够高时,我们看到的不再是一个一个的点亮,而是全部同时显示(点亮),与传统方式得到的结果看起来是一样的。
因此我们只要给数码管驱动电路一个足够高的扫描工作频率,那么就可以实现六个(或更多)数码管同时点亮。
如果在六个(或更多)数码管点亮的同时,同步地切换BCD七段译码器的输入数据,就可以实现六个(或更多)数码管显示不同的数据。
而产生这个扫描频率的驱动电路,我们可以通过一个计数器加译码器来实现;BCD七段译码器的输入数据切换电路,可以通过计数器的输出来控制几个多路数据选择器电路实现,只要计数频率足够高,就可以实现我们的要求。
实验板上的六个数码管是把相同段并接在一起,经过驱动电路然后引出( a,b,c,d,e, f,g,p)七个段信号输入接口(高电平驱动,p为小数点);同时,将六个数码管的公共端(共阴极)经过驱动电路后引出(1,2,3,4,5,6)六个位选信号输入接口(高电平驱动);要使用这些数码管显示数据,就必须在实验电路设计时考虑到输出显示电路部分要与实验板上的六个数码管的驱动方式相适应。
实现六个数码管显示数据的原理图如下:时钟频率要保证每位数码管不低于25Hz,计数器的模根据使用的数码管位数决定。
数码管动态扫描显示实验综述
实验三定时器和中断实验一、实验目的1、学习51单片机内部定时器的使用方法。
2、掌握中断处理程序的方法。
3、掌握数码管与单片机的连接方法和简单显示编程方法。
4、学习和理解数码管动态扫描的工作原理。
二、实验内容1、使用定时器T0,定时1秒,控制P1口发光管循环点亮。
2、使用定时器T0,定时1秒,控制1个数码管循环显示数字0~9,每秒钟数字加一。
3、使用软件定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。
4、使用定时器T0,定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。
三、实验电路图四、实验说明1、数码管的基本概念(1)段码数码管中的每一段相当于一个发光二极管,8段数码管则具有8个发光二极管。
本次实验使用的是共阴数码管,公共端是1、6,公共端置0,则某段选线置1相应的段就亮。
公共端1控制左面的数码管;公共端6控制右面的数码管。
正面看数码管的引脚、段选线和数据线的对应关系为:图1 数码管封装图图2 数据线与数码管管脚连接关系段码是指在数码管显示某一数字或字符时,在数码管各段所对应的引脚上所加的高低电平按顺序排列所组成的一个数字,它与数码管的类型(共阴、共阳)(2)位码位码也叫位选,用于选中某一位数码管。
在实验图中要使第一个数码管显示数据,应在公共端1上加低电平,即使P2.7口为0,而公共端6上加高电平,即使P2.6口为1。
位码与段码一样和硬件连接有关。
(3)拉电流与灌电流单片机的I/O 口与其他电路连接时,I/O 电流的流向有两种情况:一种是当该I/O 口为高电平时,电流从单片机往外流,称作拉电流;另一种是该I/O 口为低电平时,电流往单片机内流,称为灌电流。
一般I/O 的灌电流负载能力远大于拉电流负载能力,对于一般的51 单片机而言,拉电流最大4mA,灌电流为20mA。
一般在数码管显示电路中采用灌电流方式(用共阳数码管),可以得到更高的亮度。
本实验电路中采用拉电流方式(用共阴数码管)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
本科学生综合性实验报告
学号114090315 姓名李开斌
学院物电学院专业、班级11电子
实验课程名称电子设计自动化(EDA实验)
教师及职称罗永道副教授
开课学期2013 至2014 学年下学期填报时间2014 年 5 月30 日
云南师范大学教务处编印
实验序号 4 实验名称数码管扫描显示电路
实验时间2014年5月30 实验室同析楼114
一.实验预习
1.实验目的:
1、了解时序电路设计;
2、制作一个数码管显示的7段译码电路,以备以后调用;
2.实验原理、实验流程或装置示意图:
在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路。
通常点亮一个LED所需的电流在5~20mA之间,电流愈大,LED的亮度也高,相对的使用寿命也愈短。
若以10mA导通电流来估算一个接5V的串接电阻值计算应为:(5-1.6)/10mA≈0.34KΩ。
七段显示数码管分为共阳、共阴二种极性。
它们等效成八个LED相连电路。
共阴极七段显示器的LED位置定义和等效电路
共阴极七段显示码十六进制转换表动态共阴数码管扫描设计框图
静态共阳数码管扫描设计框图
3.实验设备及材料
电脑一台,QuartusII 实验平台,EDA实验箱
4.实验方法步骤及注意事项
动态共阴数码管实验电路连线 :
1、分别将A、B、C、D、E、F、G的各个管脚连接;
2、SS0:为独立扩展下载板上第82脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS0。
脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS1。
3、SS2:为独立扩展下载板上第84脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS2。
4、RESET:为独立扩展下载板上第81脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个引线插孔
5、CLK:为独立扩展下载板上第80脚即GCK0脚,应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔。
6、通过引脚配置,可得如下图形:
动态共阴数码管的模块:
改变“8位数字开关组(A)”的“SW8~SW5”,共有24=16种状态,即在共阴动态数码管上分别显示十六进制数0~F。
静态共阳数码管
改变“8位数字开关组(A)”的“SW8~SW5”,共有24=16种状态,即在DS7C 共阳静态数码管上显示对应的十六进制数0~F。
二.实验内容
1.实验现象与结果
(1)动态共阴数码管显示模块VHDL语言程序如下:library IEEE;
use IEEE.std_logic_1164.all;
entity xdeled is
port(di:in STD_LOGIC_VECTOR(3 DOWNTO 0);
a:out STD_LOGIC;
b:out STD_LOGIC;
c:out STD_LOGIC;
d:out STD_LOGIC;
e:out STD_LOGIC;
f:out STD_LOGIC;
g:out STD_LOGIC
);
end xdeled ;
architecture a of xdeled is
begin
--<<enter your statements here>>
process(di)
type data_out is array(0 to 6)of std_logic;
variable outp:data_out;
begin
case di is
when"0000"=>outp:="1111110";
when"0001"=>outp:="0110000";
when"0010"=>outp:="1101101";
when"0011"=>outp:="1111001";
when"0100"=>outp:="0110011";
when"0101"=>outp:="1011011";
when"0110"=>outp:="1011111";
when"0111"=>outp:="1110000";
when"1000"=>outp:="1111111";
when"1001"=>outp:="1111011";
when"1010"=>outp:="1110111";
when"1011"=>outp:="0011111";
when"1100"=>outp:="1001110";
when"1101"=>outp:="0111101";
when"1110"=>outp:="1001111";
when"1111"=>outp:="1000111";
when others=>null;
end case;
a<=outp(0);
b<=outp(1);
c<=outp(2);
d<=outp(3);
e<=outp(4);
f<=outp(5);
g<=outp(6);
end process;
end a;
输入波形如下所示:
输出波形如下所示:
(2)静态数共阳码管显示模块的VHDL语言程序如下:SUBDESIGN deled
(
num[3..0]:INPUT;
a,b,c,d,e,f,g:OUTPUT;
)
BEGIN
TABLE
num[3..0]=>a,b,c,d,e,f,g;
H"0" =>1,1,1,1,1,1,0;
H"1" =>0,1,1,0,0,0,0;
H"2" =>1,1,0,1,1,0,1;
H"3" =>1,1,1,1,0,0,1;
H"4" =>0,1,1,0,0,1,1;
H"5" =>1,0,1,1,0,1,1;
H"6" =>1,0,1,1,1,1,1;
H"7" =>1,1,1,0,0,0,0;
H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>1,1,1,0,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE;
END;
输出仿真波形如下:
Symbol
2.对实验现象、实验结果的分析及其结论
1、在原理图方式中设计了两个模块,其中一个用于BCD码译码输出,转换成数码管的段码,数据输入端口为D[3..0],输出端口A~G通过数码管驱动电路分别驱动各段来点亮动态数码管。
2、数码管上显示的值为“8位数字开关组(A)”的“SW8~SW5”所输入的8421BCD码值的数值。
3、另外一个模块使用74161计数器进行数码管显示选择设定。
74161的输入端有时钟信号CLK和复位信号RESET,输出为数码管段位译码的输入信号SS0、SS1、SS2。
4、通过改变频率可以看到数码管上的数字变化可快可慢;
教师评语及评分:
签名:年月日。